Forum: FPGA, VHDL & Co. Daten im Fpga(Rom) speichern


von Johnny (Gast)


Lesenswert?

hallo.
hab mich mal gefragt, ob man im einen fpga eine musik datei sozusagen
speichern kann und die dann per pwm signal rausgibt.
was zum pwm signal hab ich schon gefunden.
wäre den ein rom den man programmiert.
kann man sowas programmieren?
mfg

von AxelMeineke (Gast)


Lesenswert?

Hmmm also das sehe ich als ziemlich sinnlos an. Du kannst zwar daten im
FPGA speichern (block-Ram) aber was willst du damit bezwecken? Warum
nicht einen externen Speicher???
Oder habe ich den clou an deiner Idee nicht entdeckt?

von Zennehoy (Gast)


Lesenswert?

BlockRam ist hierzu auch ausichtslos: der ist schliesslich nicht
statisch und die Daten muessten trotzdem von irgendwo herkommen. Ein
FPGA ist eigentlich dafuer gedacht, Daten zu verarbeiten, nicht zu
speichern...

Bleibt also nur der Distributed Ram, der im Prinzip auch als SROM
benutzt werden kann, ist dafuer aber viel zu klein und uneffizient.
Du wirst da schon einen externen Speicher brauchen.
Zen

von Johnny (Gast)


Lesenswert?

aha.
also ich wollte ein kurzes musikstück widergeben.
sprich klingel oder so ähnlich.
so jetzt hatte ich gedacht das ich die daten des musikstücks direckt im
fpga abspeichere.
so hab ich weniger schaltungsaufwand dachte ich mir.
na ok.
den versuch ich mich da mal.
hat einer von euch vielleicht schon sonnen code.
um es von einem ad-wandler zu speichern und den per pwm wider zu
geben.
das mit dem pwm denke ich habe ich soweit verstanden.
trotzdem schon mal danke.
mfg

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.