Forum: FPGA, VHDL & Co. File einlesen


von Mark (Gast)


Lesenswert?

Help Help Help

Hallo Freundes des VHDL

Wie kann ich denn einen Datensatz einlesen?
Ok, etwas dumm gefragt.
Anders:
Ich möchte aus einem File, in welchem viele Integerwerte abgelegt sind,
in eine VHDL-Testbench einlesen, welche dann alle 100µs so einen Wert in
einen 10 bit breiten std_logic_vector umwandelt und als Stimuli für eine
Entity benutzt, die dann diese Daten bearbeitet.
(Umständlich formuliert - DANKE!)

Könnt ihr mir weiterhelfen?

Danke.

Mark

von Zennehoy (Gast)


Lesenswert?

Schau Dir mal die Funktionen file_open, read und file_close an. Wenn's
am Code selbst liegt und nicht an den Grund-funktionen, poste noch
mal.
Zen

von Matthias Lay (Gast)


Lesenswert?

Hallo Mark

Grundvoraussetzung ist meiner Meinung nach erst einmal die sog. TEXTIO
Schnittstelle, wobei die Stimulierung über Packages doppelt so schnell
geht wie die Stimulierung über die TEXTIO Schnittstelle.

Gruss,
Matthias Lay

von Jörn (Gast)


Lesenswert?

@Matthias:

Kannst du das etwas genauer erläutern? Ich habe auch schon von Daten
über aus einer Datei mittels TEXTIO ausgelesen. Ich kann mir gerade
nicht vorstellen was mir eine Package bringen soll.

Gruß Jörn

von J. Z. (zennehoy)


Lesenswert?


von Matthias Lay (Gast)


Lesenswert?

Hallo Jörn

Sorry, aber ich hab das nur noch so aus'm Studium in Erinnerung. Mehr
weiss ich darüber auch nicht.

Matthias

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.