Forum: FPGA, VHDL & Co. Wie kann man Vectoren addieren?


von Peter B. (funkheld)


Lesenswert?

Kann man die so addieren :
zpos <= basis + offs;

Wenn falsch, wie geht das?

Danke.
Gruss

-----------------------------
signal basis  : std_logic_vector (11 downto 0);
signal offs  : std_logic_vector (6 downto 0);
signal zpos  : std_logic_vector (11 downto 0);

offs <= counterx(9 downto 3);
zpos <= basis + offs;

von Schlumpf (Gast)


Lesenswert?

Peter, du musst langsam damit rechnen, dass dir hier bald keiner mehr 
helfen mag.

1. Vergisst du ständig das, was man dir schon zig mal erklärt hat.
2. Formulierst du NIEMALS deine Frage so, dass man sie ohne mehrmaliges 
Nachfragen verstehen kann.

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?


von Peter B. (funkheld)


Lesenswert?

Hmm... es kommt keine Fehlermeldung.
Obwohl ich so etwas schon mal mit unsigned gemacht habe.

Gruss

von Schlumpf (Gast)


Lesenswert?

Peter Bierbach schrieb:
> Hmm... es kommt keine Fehlermeldung.

Dann teile uns doch mal mit, welche Library du verwendet hast.
Und zieh dir nen Helm auf, bevor Lothar kommt und dir eine überbrät!

von Peter B. (funkheld)


Lesenswert?

Diese:

use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

Gruss

von Peter B. (funkheld)


Lesenswert?

Diese Umwandlungen machen mich immer wieder aufs neue Wahnsinnig.

signal char    : std_logic_vector (7 downto 0);
signal countery : std_logic_vector (9 downto 0);
signal charlin : std_logic_vector (11 downto 0);

Wie kann man bitte dieses Monster verkürzen:
charlin <= std_logic_vector(to_unsigned(to_integer(unsigned(char)) + 
to_integer(unsigned(countery(3 downto 1))),12));

Danke.
Gruss

von Schlumpf (Gast)


Lesenswert?

Peter Bierbach schrieb:
> use IEEE.STD_LOGIC_UNSIGNED.ALL;

Helm auf?

von Schlumpf (Gast)


Lesenswert?

Peter Bierbach schrieb:
> charlin <= std_logic_vector(to_unsigned(to_integer(unsigned(char)) +
> to_integer(unsigned(countery(3 downto 1))),12));

Peter, WAS MACHST DU????

Hast du diese Seite ausgedruckt vor dir liegen?
Lothar hat dir das schon gefühlte 1000mal empfohlen

http://www.lothar-miller.de/s9y/index.php?serendipity[action]=search&serendipity[fullentry]=1&serendipity[searchTerm]=Konvertierung&serendipity[searchButton]=%3E

Wenn ja, dann melde dich wieder und wir gehen das Schritt für Schritt 
durch, ok?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Peter Bierbach schrieb:
> use IEEE.STD_LOGIC_1164.ALL;
> use IEEE.NUMERIC_STD.ALL;
> use IEEE.STD_LOGIC_UNSIGNED.ALL;
Ich hatte dir den Beitrag Beitrag "IEEE.STD_LOGIC_ARITH.ALL obsolete"
schon mal gezeigt. Und: drücke auch auf die darin enthaltenen Links.

Schlumpf schrieb:
> 1. Vergisst du ständig das, was man dir schon zig mal erklärt hat.
Ja, aufgrund seiner Anamnese darf Peter das:
Beitrag "Re: Warum hängt vsync und hsync im RTL-Viewer in der Luft?"
Allerdings wäre tatsächlich ein Hobby ratsam, wo man sich nicht so viel 
merken muss oder nach einer Anleitung vorgehen muss. Z.B. Stricken oder 
Kochen...

Schlumpf schrieb:
> vor Lothar kommt und dir eine überbrät!
Ach, lass stecken. Peter hat schon genug abbekommen...

von Schlumpf (Gast)


Lesenswert?

Ich habe heute abend extrem gute Laune und wäre sogar gewillt, das alles 
haarklein, Schritt für Schritt mit Peter durchzugehen.
Vielleicht bleibt ja doch irgendwas hängen.

Lothar Miller schrieb:
> oder nach einer Anleitung vorgehen muss. Z.B. Stricken oder
> Kochen...
Ist das nicht auch die Typumwandlung in VHDL? Einfach vom 
std_logic_vector eine Masche links und man ist schon beim Unsigned? ;-)

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Schlumpf schrieb:
> Lothar Miller schrieb:
>> oder nach einer Anleitung vorgehen muss. Z.B. Stricken oder
>> Kochen...
> Ist das nicht auch die Typumwandlung in VHDL?
Wo du recht hast...
Ich bin in "jungen Jahren" (also zu meiner VHDL Anfängerzeit) auch immer 
über diese Konvertierungen der verschiedenen Bibliotheken gestolpert und 
konnte keine Regelmäßigkeit erkennen. Bis mir dann so eine ähnliche 
Darstellung wie mein Diagramm die Augen geöffnet hat. Seither hatte ich 
nie mehr irgendein Konvertierungsproblem.

Und für die math_real Bibliothek muss man sich an den integer nur noch 
die Funktionem real() und integer() merken.

: Bearbeitet durch Moderator
von Schlumpf (Gast)


Lesenswert?

Lothar Miller schrieb:
> Ich bin in "jungen Jahren" (also zu meiner VHDL Anfängerzeit) auch immer
> über diese Konvertierungen der verschiedenen Bibliotheken gestolpert

Ging mir nicht anders.. ich habe da auch immer in den Beschreibungen der 
Libraries nachgeschlagen und mir irgendwann selbst eine Übersicht 
gemacht. Mit der kam ich dann auch ganz gut zurecht, aber ich muss 
gestehen, dein Bild war das Todesurteil für meine selbstgemachte 
Übersicht, die mir viele, viele Jahre eigentlich gute Dienste getan hat 
.. R.I.P ;-)

von Peter B. (funkheld)


Lesenswert?

----------------------------------
 Z.B. Stricken oder
Kochen...
----------------------------------

Hmmm..., auch das habe ich mir mal vor 1 Jahr gekauft:
Strickmaschine Brother mit Lochkarte.
Wollte mal aus meinem Spieltrieb heraus sehen, wie so etwas 
funktioniert.
Ist fantastisch, wie es da so mit Lochkarte und der der Mechanik 
funktioniert. Es werden damit sogar runde geschlossene Sachen gestrickt, 
weil es eine Doppelbett ist. Wie schon einmal erwähht, wenn mich etwas 
interessiert, wird es gekauft.

Kochen mache ich nur ganz nebenbei. Bei uns im Ort gibt es alle 3 Wochen 
ein sogenanntes "Männerkochen".

Das Leben macht einfach Spaß wenn man nicht mehr arbeiten braucht und 
man einfach so nebenbei Dinge kaufen kann , die einem Morgens irgendwie 
als Spielerei einfallen.

Also seht zu das ihr in Pension geht. Denn das Gehalt als Pensionärs ist 
höher als das eines Rentners.

Gruss

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Peter Bierbach schrieb:
> Also seht zu das ihr in Pension geht.
Es ist leider nicht mehr so leicht, Beamter zu werden...

von Schlumpf (Gast)


Lesenswert?

Peter Bierbach schrieb:
> Denn das Gehalt als Pensionärs ist
> höher als das eines Rentners.

Lach, wenn wir alle in Pension könnten, gäbe es dieses Forum vermutlich 
nicht in der Form. Denn dann wären wir Lehrer, Polizisten, oder was auch 
immer. Aber keinesfalls Leute, die sich mit der Elektrotechnik beruflich 
auseinander setzen.
Aber gut zu hören, dass du finanziell gesichert bist.

von Holger (Gast)


Lesenswert?


von Peter B. (funkheld)


Lesenswert?

Ja , mit dem DE0 und DE1 ist es vorbei.

Ich habe mir jetzt mal dieses Board gegönnt:
http://www.terasic.com.tw/cgi-bin/page/archive.pl?No=30

Müsste in 7 Tagen bei mir an Board sein.

Es laufen schon sehr viele Beschreibungen auf dem DE2.


Viele Grüsse.

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Peter B. schrieb:
> schon sehr viele *Beschreibungen*
Na bitte, geht doch... ;-)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.