Forum: FPGA, VHDL & Co. Hat VIVADO HLS Simulation "watifor-Statement"?


von Andy N. (jtani)


Lesenswert?

Hallo,
ich habe eine VIVADO_HLS IP mit S_AXI_LITE Port geschrieben.
Es ist ein Timer mit ein bisschen Arithmetik - nur zum Test...

Frage:
Ich würde in der Simulation gerne an einer beliebigen Stelle z.B. 500ns 
warten. In einer VHDL-Testbench würde man halt "wait for 500 ns;" 
schreiben. In der HLS-Welt finde ich da aber nichts.

Wie würde man dann externe Signale (irgendwelche Eingänge, z.B. 
Tastenanschläge eines Benutzers) simulieren.

Es sollte doch möglich sein, dem (high-Level)-Simulator-Skript 
mitzuteilen, dass 500ns nach Simulationsstart der EingangX auf '1' 
gesetzt werden soll. Oder sehe ich da etwas falsch?

Im Headerfile "systemc.h" gibt es zwar ein wait() - aber irgendwie komme 
ich damit nicht weiter... Und Google hilft auch nicht wirklich...



Viele Grüße,

Andreas

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.