Forum: Mikrocontroller und Digitale Elektronik Sinus bis 150kHz mit Leistung aus PWM


von Ge E. (re_n)


Lesenswert?

Am Ausgang Gesucht: Sinus mit bis zu 150kHz, 1kVss ca 250Veff. um die 
1,5kW.

Was ich bisher realisiert habe: 4 Kanal PWM Erzeugung mit 800kHz 
moduliert über eine Sinustabelle mit 36.000 Stützen (sprich 1/100 Grad 
Auflösung), potentialtrennugn durch HF Trafo ca 30kHz und anschließende 
Gleichrichtung (Ausgang ca 250V Eff. 100Hz pulsierende Gleichspannung) 
dieses "SNT" liefert mir meine Potentialgetrennte Versorgungsspannung 
für eine H-Brücke aus SiC MOSFETS die mit den besagten 800kHz PWM 
angesteuert werden. Soweit erstmal alles Prima. Als Tiefpassfilter 
dienen mir 2 LC Filter (3,9µH, 330nF je einen pro Halbbrücke) Der 
Ausgangssinus ist Ausreichend glatt/rauscharm, soll ja keine Audio 
Endstufe werden ;) lastunabhängig ist der Ausgang auch. Die 
Flankensteilheit an den SiC Gates sind ca. 65ns. Mein Problem was ich 
aber schon erwartet habe, durch die 800kHz und der hohen 
Versorgungsspannung habe ich eine wahnsinnig hohe Verlustleistung an den 
SiC MOSFETS, EMV habe ich einigermaßen unter Kontrolle bzw wird noch 
nachgebessert.

jetzt habe ich ein paar Möglichkeiten: 1. ich könnte die SiCs noch 
härter ansteuern sagen wir mal mit 15ns Flanken was meiner Meinung nach 
schon an der grenze des teschn. machbaren liegt in diesem 
Leistungsbereich, einhergehen wird das sicher mit massievsten EMV 
Störungen denen ich nicht mehr Herr werden kann.

möglichkeit 2: Ich könnte die Trägerfrequenz von 800 auf sagen wir mal 
250kHz absenken und damit die Verlustleistung um den Faktor 3,2 
verringern, jedoch müsste dann auch der LC Tiefpass deutlich aufwendiger 
Ausfallen, wofür mir einfach der Platz fehlt..

Tja verzwickte Situation, kennt ihr noch andere Möglichkeiten? Die 
gewünschen 0-150 kHz analog verstärken fällt ja wohl auch flach da dort 
dann der Wirkungsgrad theor. nur 62,5% betragen würde.

PS: sry wenn hier udn da ein paar Rechtschreibfehlerchen sind ;)

: Bearbeitet durch User
von Jonas G. (jstjst)


Lesenswert?

Hallo,

auch wenn es dir nicht weiter hilft.

Ich muss gerade einen Sinus per PWM erzeugen. Kannst du ein paar Daten 
zu deiner Lösung schicken?

Jst

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>Am Ausgang Gesucht: Sinus mit bis zu 150kHz, 1kVss ca 250Veff. um die
>1,5kW.

Puh! Was macht man damit?

>Was ich bisher realisiert habe: 4 Kanal PWM Erzeugung mit 800kHz
>moduliert über eine Sinustabelle mit 36.000 Stützen (sprich 1/100 Grad
>Auflösung),

High Power und Super Präzision? Wozu das?

> potentialtrennugn durch HF Trafo ca 30kHz und anschließende
>Gleichrichtung (Ausgang ca 250V Eff. 100Hz pulsierende Gleichspannung)
>dieses "SNT" liefert mir meine Potentialgetrennte Versorgungsspannung
>für eine H-Brücke aus SiC MOSFETS die mit den besagten 800kHz PWM
>angesteuert werden. Soweit erstmal alles Prima. Als Tiefpassfilter
>dienen mir 2 LC Filter (3,9µH, 330nF je einen pro Halbbrücke) Der
>Ausgangssinus ist Ausreichend glatt/rauscharm, soll ja keine Audio
>Endstufe werden ;)

Wozu dann 36.000 Stützstellen?

>Tja verzwickte Situation, kennt ihr noch andere Möglichkeiten? Die
>gewünschen 0-150 kHz analog verstärken fällt ja wohl auch flach da dort
>dann der Wirkungsgrad theor. nur 62,5% betragen würde.

There is no such thing as free lunch.

von Falk B. (falk)


Lesenswert?

@Jonas G. (jstjst)

>Ich muss gerade einen Sinus per PWM erzeugen. Kannst du ein paar Daten
>zu deiner Lösung schicken?

Wo ist das Problem? Sinustabelle als Array anlegen und einfach 
nacheinander per PWM ausgeben.

von Eric B. (beric)


Lesenswert?

Re Né Re Né schrieb:
> Ich könnte die Trägerfrequenz von 800 auf sagen wir mal
> 250kHz absenken

Damit kriegst du aber kein 150kHz Signal mehr raus, sagt Nyquist.

von Ge E. (re_n)


Lesenswert?

Jonas G. schrieb:
> Hallo,
>
> auch wenn es dir nicht weiter hilft.
>
> Ich muss gerade einen Sinus per PWM erzeugen. Kannst du ein paar Daten
> zu deiner Lösung schicken?
>
> Jst

Ja kann ich machen aber nicht mehr heute

von Ge E. (re_n)


Lesenswert?

Eric B. schrieb:
> Re Né Re Né schrieb:
>> Ich könnte die Trägerfrequenz von 800 auf sagen wir mal
>> 250kHz absenken
>
> Damit kriegst du aber kein 150kHz Signal mehr raus, sagt Nyquist.

Aber ganz sicher bekomme ich die 150kHz da raus. Ich muss sogar bis auf 
200 hoch gehen bevor der Tiefpass greift und der Sinus kleiner wird ;)

von Ge E. (re_n)


Lesenswert?

> High Power und Super Präzision? Wozu das?
>
>
> Wozu dann 36.000 Stützstellen?
>
>>Tja verzwickte Situation, kennt ihr noch andere Möglichkeiten? Die
>>gewünschen 0-150 kHz analog verstärken fällt ja wohl auch flach da dort
>>dann der Wirkungsgrad theor. nur 62,5% betragen würde.
>
> There is no such thing as free lunch.

"High Power und Super Precision" du sagst es ;) Und wenn ich nen 32 Bit 
PIC hätte mit 10 fachem Speicher würde ich auch 360.000 Stützen nehmen 
:P. Nur leider ist die Tabelle jetzt schon um die 400kbyte groß.

von Pandur S. (jetztnicht)


Lesenswert?

Nochmals... und das Ganze soll?

von Ge E. (re_n)


Lesenswert?

Jetzt Nicht schrieb:
> Nochmals... und das Ganze soll?

Das möchte ich nicht sagen und es tut auch nix zur Sache. Sry das ich 
deine Neugierde nicht befriedigen kann ;)

von Falk B. (falk)


Lesenswert?

Also wieder mal Area 51 Project. Naja.

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>"High Power und Super Precision" du sagst es ;) Und wenn ich nen 32 Bit
>PIC hätte mit 10 fachem Speicher würde ich auch 360.000 Stützen nehmen
>:P. Nur leider ist die Tabelle jetzt schon um die 400kbyte groß.

[ ] Dir ist klar, dass eine riesige Tabelle alles andere als sinnvoll 
ist, wenn man sich der oberen grenzfrequenz nähert.
[ ] Dir ist klar, dass die endliche Auflösung der PWM eine riesige 
Tabelle sinnlos macht.

von Ge E. (re_n)


Lesenswert?

Falk Brunner schrieb:
> @ Re Né (re_n)
>
>>"High Power und Super Precision" du sagst es ;) Und wenn ich nen 32 Bit
>>PIC hätte mit 10 fachem Speicher würde ich auch 360.000 Stützen nehmen
>>:P. Nur leider ist die Tabelle jetzt schon um die 400kbyte groß.
>
> [ ] Dir ist klar, dass eine riesige Tabelle alles andere als sinnvoll
> ist, wenn man sich der oberen grenzfrequenz nähert.
> [ ] Dir ist klar, dass die endliche Auflösung der PWM eine riesige
> Tabelle sinnlos macht.

Die größe einer Sinustabelle hat nix aber auch gar-überhaupt-nix mit der 
OGF des TPF zutun, wie kommst du darauf? Sie bestimmt ausschlieslich 
meine Schritt Auflösung und die ist in meinem Fall zum Glück schon 
ausreichend hoch (gerade so).

Ich vermute du hast mich falsch verstanden: Meine SINE TAB hat nur 
desshalb so viele Stützen weil ich im Bereich von 20kHz-120 oder 150kHz 
den Ausgangssinus in Schritten von einigen 10Hz bis 100Hz variiren will. 
Ansonsten würde mir auch ne 8er SINE TAB reichen ;)

Mein Prozi läd prakisch alle 1,25µs nen neuen Wert aus der Sinetab und 
schreibt ihn ins PWM Dutycycle Register.

von 12345678 (Gast)


Lesenswert?

Re Né schrieb:
> Eric B. schrieb:
>> Re Né Re Né schrieb:
>>> Ich könnte die Trägerfrequenz von 800 auf sagen wir mal
>>> 250kHz absenken
>>
>> Damit kriegst du aber kein 150kHz Signal mehr raus, sagt Nyquist.
>
> Aber ganz sicher bekomme ich die 150kHz da raus. Ich muss sogar bis auf
> 200 hoch gehen bevor der Tiefpass greift und der Sinus kleiner wird ;)
Und das bei 250kHz PWM Frequenz? Das will ich sehen.

von Ingo (Gast)


Lesenswert?

Also irgendwie versteh ich deine herangehensweise nicht.

Du hast 800kHz PWM Frequenz. Möchtest damit einen 150kHz Sinus 
modulieren. Somit ergeben sich also bei 150kHz etwas mehr als 5PWM 
Perioden. Okay?! Mit 36.000 Werten, die mit 800kHz durchlaufen werden 
komme ich auf 22,2Hz. Was treibst du da? Normalerweise nimmt man sich 
eine Tabelle, z.B. mit 360 Werten und hat somit 1Grad Auflösung. Wenn 
ich jetzt 50Hz haben will brauche ich also 18kHz Timerfrequenz der meine 
Tabelle durchläuft. Somit ergibt sich für deine 150kHz und 36.000 Werten 
5,4GHz Timerfrequenz.

Was hab ich hier falsch verstanden?

von Lattice User (Gast)


Lesenswert?

Re Né schrieb:
> Eric B. schrieb:
>> Re Né Re Né schrieb:
>>> Ich könnte die Trägerfrequenz von 800 auf sagen wir mal
>>> 250kHz absenken
>>
>> Damit kriegst du aber kein 150kHz Signal mehr raus, sagt Nyquist.
>
> Aber ganz sicher bekomme ich die 150kHz da raus. Ich muss sogar bis auf
> 200 hoch gehen bevor der Tiefpass greift und der Sinus kleiner wird ;)

Du bekommst aber auch noch ein 100 kHz Signal raus.
Gib testweise mal einen 125 kHz Sinus mit einer PWM Frequenz von 250 kHz 
aus, da wartet eine Überraschung auf dich.

Bei einer Abtastrate von 250 kHz braucht man einen Tiifpass der bei 125 
kHz dicht macht. Es gibt zwar die Möglichkeit mit Unterabtastung zu 
arbeiten, aber dann braucht es hier einen Bandpass von 130 bis 240 kHz. 
Ausserdem reduziert das auf die Signalstärke.

Re Né schrieb:
> Falk Brunner schrieb:
>> @ Re Né (re_n)
>>
>>>"High Power und Super Precision" du sagst es ;) Und wenn ich nen 32 Bit
>>>PIC hätte mit 10 fachem Speicher würde ich auch 360.000 Stützen nehmen
>>>:P. Nur leider ist die Tabelle jetzt schon um die 400kbyte groß.
>>
>> [ ] Dir ist klar, dass eine riesige Tabelle alles andere als sinnvoll
>> ist, wenn man sich der oberen grenzfrequenz nähert.
>> [ ] Dir ist klar, dass die endliche Auflösung der PWM eine riesige
>> Tabelle sinnlos macht.
>
> Die größe einer Sinustabelle hat nix aber auch gar-überhaupt-nix mit der
> OGF des TPF zutun, wie kommst du darauf? Sie bestimmt ausschlieslich
> meine Schritt Auflösung und die ist in meinem Fall zum Glück schon
> ausreichend hoch (gerade so).
>
> Ich vermute du hast mich falsch verstanden: Meine SINE TAB hat nur
> desshalb so viele Stützen weil ich im Bereich von 20kHz-120 oder 150kHz
> den Ausgangssinus in Schritten von einigen 10Hz bis 100Hz variiren will.
> Ansonsten würde mir auch ne 8er SINE TAB reichen ;)
>
> Mein Prozi läd prakisch alle 1,25µs nen neuen Wert aus der Sinetab und
> schreibt ihn ins PWM Dutycycle Register.

Deine Tabelle braucht trotzdem nicht so riesig zu sein. Lies dich mal in 
DDS ein. Es reicht die oberen n Bits einen n+x Bits Phassenakkus zum 
indizieren der Sinustabelle zu benutzen. Als n sind 2 Bit mehr als die 
Auflösung deines mit PWM realiserten DAC völlig ausreichend.

von c-hater (Gast)


Lesenswert?

Re Né schrieb:

> Das möchte ich nicht sagen und es tut auch nix zur Sache.

Spätestens jetzt ist alles klar: Ein Troll (ich hatte das schon beim OP 
ganz stark vermutetet).

Einfach ignorieren ist das Beste, was man machen kann.

von Ge E. (re_n)


Lesenswert?

12345678 schrieb:
> Re Né schrieb:
>> Eric B. schrieb:
>>> Re Né Re Né schrieb:
>>>> Ich könnte die Trägerfrequenz von 800 auf sagen wir mal
>>>> 250kHz absenken
>>>
>>> Damit kriegst du aber kein 150kHz Signal mehr raus, sagt Nyquist.
>>
>> Aber ganz sicher bekomme ich die 150kHz da raus. Ich muss sogar bis auf
>> 200 hoch gehen bevor der Tiefpass greift und der Sinus kleiner wird ;)
> Und das bei 250kHz PWM Frequenz? Das will ich sehen.

Das war noch auf die 800kHz bezogen. Bei 250 isses auch machbar die 
Trägerfrequenz muss min doppelt so hoch sein (siehe Audio CD). Man 
müsste in dem Fall den LC Filter start erweitern und das ist zugegebener 
Maßen recht schwierig aber nicht unmöglich ;)

von Ge E. (re_n)


Lesenswert?

c-hater schrieb:
> Re Né schrieb:
>
>> Das möchte ich nicht sagen und es tut auch nix zur Sache.
>
> Spätestens jetzt ist alles klar: Ein Troll (ich hatte das schon beim OP
> ganz stark vermutetet).
>
> Einfach ignorieren ist das Beste, was man machen kann.

Ein Troll währe ich nur wenn ich das Thema nur aus lauter langer Weile 
gestartet hätte. Aber hierbei handelt es sich um ein ernsthaftes und 
reales Projekt. Schluss mit Offtopic jetzt.

von 12345678 (Gast)


Lesenswert?

Re Né schrieb:
> Das war noch auf die 800kHz bezogen. Bei 250 isses auch machbar die
> Trägerfrequenz muss min doppelt so hoch sein (siehe Audio CD). Man
> müsste in dem Fall den LC Filter start erweitern und das ist zugegebener
> Maßen recht schwierig aber nicht unmöglich ;)
Bei 800kHz PWM hat Nyquist auch noch nichts dagegen. Bei 250kHz PWM wird 
das mit dem 150kHz Sinus aber nicht mehr möglich sein.

von Ge E. (re_n)


Lesenswert?

12345678 schrieb:
> Re Né schrieb:
>> Das war noch auf die 800kHz bezogen. Bei 250 isses auch machbar die
>> Trägerfrequenz muss min doppelt so hoch sein (siehe Audio CD). Man
>> müsste in dem Fall den LC Filter start erweitern und das ist zugegebener
>> Maßen recht schwierig aber nicht unmöglich ;)
> Bei 800kHz PWM hat Nyquist auch noch nichts dagegen. Bei 250kHz PWM wird
> das mit dem 150kHz Sinus aber nicht mehr möglich sein.

Richtig ja.. ich würde dann auf die höheren Frequenzen verzichten und 
mich auf bis zu 125kHz beschränken ;)

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>Die größe einer Sinustabelle hat nix aber auch gar-überhaupt-nix mit der
>OGF des TPF zutun, wie kommst du darauf?

Was sollen diese selbsterfundenen Abkürzungen? Obere Grenzfrequenz, 
Tiefpassfunktion. Zu viel zum tippen?

Natürlich haben sie nicht viel mit der Grenzfrequenz des Tiefpasses zu 
tun, mit dem Klirrfaktor bzw. der spektralen Reihneit aber wohl! Und es 
soll ja angeblich super päzise werden.

> Sie bestimmt ausschlieslich
>meine Schritt Auflösung und die ist in meinem Fall zum Glück schon
>ausreichend hoch (gerade so).

[ ] Du hast di Quantisierung und den Klirrfakto verstanden.

>Ich vermute du hast mich falsch verstanden: Meine SINE TAB hat nur
>desshalb so viele Stützen weil ich im Bereich von 20kHz-120 oder 150kHz
>den Ausgangssinus in Schritten von einigen 10Hz bis 100Hz variiren will.

Dazu braucht man keine Tabelle mit 36.000 Werten. DDS und deren 
Theorie zeigt das.

>Ansonsten würde mir auch ne 8er SINE TAB reichen ;)

Bla.

>Mein Prozi läd prakisch alle 1,25µs nen neuen Wert aus der Sinetab und
>schreibt ihn ins PWM Dutycycle Register.

Und? 800 kHz PWM erzeugen ist heute Standard. Welche Auflösung hat deine 
PWM? 10 Bit?

von Ge E. (re_n)


Lesenswert?

> Und? 800 kHz PWM erzeugen ist heute Standard. Welche Auflösung hat deine
> PWM? 10 Bit?

800kHz Standart? In dem Leistunsbereich? Mit so einer hohen 
Versorgungsspannung der MOSFETS? Fällt mir schwer zu glauben. Nenne mir 
bitte ein paar Beispiele.

16Bit theor, aber effektiv stehen mir bei der Frequenz nur 10Bit zur 
verfügung, stimmt. Aber darum gehts mir nicht! Mit dem Signal bin ich 
top zufrieden.

Es geht nur um eine möglichst "Verlustfreie" Verstärkung. Oder von mir 
aus kann es auch eine Vollkommen andere Methode/Prinzip sein an die/das 
ich bis jetzt einfach noch nicht gedacht habe, Hauptsache der Ausgang 
erfüllt meine Rahmenbedingunen.

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>> Und? 800 kHz PWM erzeugen ist heute Standard. Welche Auflösung hat deine
>> PWM? 10 Bit?

>800kHz Standart? In dem Leistunsbereich? Mit so einer hohen
>Versorgungsspannung der MOSFETS? Fällt mir schwer zu glauben.

So war es auch nicht gemeint ;-) Ich meinte die PWM-ERZEUGUNG, nicht den 
Leistungsteil.

>16Bit theor,

Bei 800kHz, das wären 19ps Zeitauflösung. Naja, die besten ICs mögen das 
theoretisch können. Die Endstufe kaum, die macht garantiert mehr Jitter 
und andere Störungen.

> aber effektiv stehen mir bei der Frequenz nur 10Bit zur
>verfügung, stimmt. Aber darum gehts mir nicht! Mit dem Signal bin ich
>top zufrieden.

Ja, aber deine Tabelle spuckt viel mehr als 10 Bit aus. Das bringt aber 
nichts, weil dein Generator das gar nicht umsetzen kann. Ähnlich wie bei 
der DDS, wo nur ein Teil des Phasenakkus in die Tabelle geschoben wird 
und auch dort nur eine endliche Auflösung  rauskommt, eben weil der DAC 
Grenzen hat.

>Es geht nur um eine möglichst "Verlustfreie" Verstärkung.

Nenn es doch einfach so, wie der Rest der Welt. Signalerzeugung mit 
möglichst geringem Klirrfaktor.

von Ge E. (re_n)


Lesenswert?

Wenn ich ""Verlustfreie" Verstärkung" schreibe geht es mir nicht um die 
Signalverzerrung sondern um die Abwärme der MOSFETs sry wenn ich mich 
unklar ausgedrückt habe ;) Wie gesagt die Signalqualität ist hier 
zweitrangig das wird keine Audioendstufe. Generelles Problem ist das 
Schalten unter den genannten Bedingungen. Mosfet ist übrigends dieser 
hier: http://www.farnell.com/datasheets/1725495.pdf

Gatesignale sind wie aus dem Bilderbuch, sehr sauber und ohne Spikes. 
Deadtime ist ebenfalls korrekt. Externe Diode verwende ich nicht, da die 
interne Body Diode mit 22ns schnell genug ist. Rise und Fall times wie 
gesagt 65ns aktuell. Nach 1-2 min gehen die aber schon in Richtung 90° 
(ohne Last, mal abgesehen vom Tiefpassfilter)

von Bastler (Gast)


Lesenswert?

Ein Supertroll mit absolut marginalem Wissen. Eine veraenderlich 
Frequenz macht man mit 256 Stuetzstellen. Mehr braucht man nicht. Dazu 
muesste man das Prinzip DDS begriffen haben. Das Projekt wird so nichts.

von Ge E. (re_n)


Lesenswert?

Bastler schrieb:
> Ein Supertroll mit absolut marginalem Wissen. Eine veraenderlich
> Frequenz macht man mit 256 Stuetzstellen. Mehr braucht man nicht. Dazu
> muesste man das Prinzip DDS begriffen haben. Das Projekt wird so nichts.

Ahh, Hallo Mr. ich-bleibe-lieber-unerkannt-super-schlau. Genau auf dich 
habe ich gewartet! Wo warst du denn die ganze Zeit? Erklär mir doch 
bitte mal wie ne DDS funktioniert ich habe nähmlich keinen Dunst! Und 
überhaupt..

Außerdem schön weit am Thema vorbei..

Lassen wir das lieber, Thema kann closed werden. Hier kommen keine 
(mit wenigen Außnahmen) sinnvollen Kommentare

: Bearbeitet durch User
von fli (Gast)


Lesenswert?

Gibt das ne Teslaspulenansteuerung? Einstellbar in der Resonanzfrequenz?

von Lattice User (Gast)


Lesenswert?

Re Né schrieb:
> 12345678 schrieb:
>> Re Né schrieb:
>>> Das war noch auf die 800kHz bezogen. Bei 250 isses auch machbar die
>>> Trägerfrequenz muss min doppelt so hoch sein (siehe Audio CD). Man
>>> müsste in dem Fall den LC Filter start erweitern und das ist zugegebener
>>> Maßen recht schwierig aber nicht unmöglich ;)
>> Bei 800kHz PWM hat Nyquist auch noch nichts dagegen. Bei 250kHz PWM wird
>> das mit dem 150kHz Sinus aber nicht mehr möglich sein.
>
> Richtig ja.. ich würde dann auf die höheren Frequenzen verzichten und
> mich auf bis zu 125kHz beschränken ;)

125kHz ist die theoretische Grenze.

Wenn du einen 120 kHz Sinus mit einer Abtastrate von 250 kHz erzeugst, 
gibt es eine Spiegelsignal bei 130 kHz. Damit du die 10 bit Auflösung 
erhalten kannst, muss dein Tiefpass eine Dämpfung von 50-60 dB zwischen 
120 und 130 kHz haben.
Du betrachtest bisher nur den Tiefpass als Methode um die PWM Frequenz 
auszufiltern, das reicht hier aber nicht. Bei deinen bisherigen 800 kHz 
PWM, hat es geklappt, weil der Spiegel zu deinen 150 kHz bei 650 kHz 
liegt.

Immer daran denken, du baust hier nichts anderes als einen DAC mit 
Leistungsausgang.

von Martin S. (led_martin)


Lesenswert?

@Re Né (re_n):

Hast Du dir schon mal Class-D Verstärker mit Delta-Sigma-Modulation 
angesehen, da werden deutlich weniger Pegelwechsel an der Endstufe 
erzeugt, als bei PWM. Mit einem guten Ausgangs-Tiefpass kommt man auch 
da auf eine gute Qualität des Ausgangssignals. Die Störungen verteilen 
sich auch auf ein breiteres Band, könnte also auch von der EMV besser 
sein.

Wie weit musst Du die Ausgangsfrequenz verstellen können? (Ist mir aus 
dem Geschriebenen nicht klar geworden) Wenn die Verstellung nur gering 
ist, könnte man einen Resonanzkreis als 'Ausgangsfilter' verwenden, dann 
könnte sogar ein Rechteck in Wunschfrequenz als Anregung genügen.

Mit freundlichen Grüßen - Martin

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>Wenn ich ""Verlustfreie" Verstärkung" schreibe geht es mir nicht um die
>Signalverzerrung sondern um die Abwärme der MOSFETs sry wenn ich mich
>unklar ausgedrückt habe ;)

AHA! Na ganz andere Baustelle!

>zweitrangig das wird keine Audioendstufe. Generelles Problem ist das
>Schalten unter den genannten Bedingungen. Mosfet ist übrigends dieser
>hier: http://www.farnell.com/datasheets/1725495.pdf

Naja, schon ein fixes Kerlchen!

>Gatesignale sind wie aus dem Bilderbuch, sehr sauber und ohne Spikes.
>Deadtime ist ebenfalls korrekt. Externe Diode verwende ich nicht, da die
>interne Body Diode mit 22ns schnell genug ist. Rise und Fall times wie
>gesagt 65ns aktuell. Nach 1-2 min gehen die aber schon in Richtung 90°
>(ohne Last, mal abgesehen vom Tiefpassfilter)

Naja, 800kHz sind HEFTIG, bei den Spannungen dreifach!

Vielleicht ist ein Klasse AB-Verstärker da doch verlustärmer ;-)

von Ge E. (re_n)


Lesenswert?

Martin Schlüter schrieb:
> @Re Né (re_n):
>
> Hast Du dir schon mal Class-D Verstärker mit Delta-Sigma-Modulation
> angesehen, da werden deutlich weniger Pegelwechsel an der Endstufe
> erzeugt, als bei PWM. Mit einem guten Ausgangs-Tiefpass kommt man auch
> da auf eine gute Qualität des Ausgangssignals. Die Störungen verteilen
> sich auch auf ein breiteres Band, könnte also auch von der EMV besser
> sein.
Ja mit diesem Prinzip bin ich vertraut, aber hier ist das Problem das 
ich die PWM dann nicht mehr über den PIC ausgeben kann. Da er eben diese 
Modulation nicht beherscht. Und die Externen ICs nicht Leistungsfähig 
genug sind, da sie ja für den Audio Bereich konzipiert sind. Hinzu kommt 
noch das ich eine wahnwizig schnelle SPI Verbindung zwischen PIC und 
ext. IC herstellen müsste. (Bitte korrigier mich falls ich was übersehe)

> Wie weit musst Du die Ausgangsfrequenz verstellen können? (Ist mir aus
> dem Geschriebenen nicht klar geworden)

Stufenlos (oder max. in 100Hz, besser 10Hz Schritten Linear über das 
gesamte Band) zwischen 20kHz und 150kHz währe schon optimal viel höher 
muss es nicht.

>Wenn die Verstellung nur gering
> ist, könnte man einen Resonanzkreis als 'Ausgangsfilter' verwenden, dann
> könnte sogar ein Rechteck in Wunschfrequenz als Anregung genügen.
Resonanzkreis fällt leider flach da die Last rein Kapazitv ist und zudem 
auch variiert. Ich benötige also einen "harten" Sinusausgang der zudem 
innerhalb gewisser Grenzen Laststabiel sein muss. (90% Stabilität 
reichen mir schon)

von Felix W. (felixw)


Lesenswert?

Re Né schrieb:
> Mein Problem was ich
> aber schon erwartet habe, durch die 800kHz und der hohen
> Versorgungsspannung habe ich eine wahnsinnig hohe Verlustleistung an den
> SiC MOSFETS, EMV habe ich einigermaßen unter Kontrolle bzw wird noch
> nachgebessert.

Wenn deine Ausgangsspannung ein reiner Sinus ist -> Stichwort Optimierte 
Pulsmuster (Unterdrückung von Oberwellen durch geschickte Auswahl von 
Schaltzeitpunkten), natürlich vorausberechnet ;)

von Ge E. (re_n)


Lesenswert?

Georg H schrieb im Beitrag #4065952:
> Re Né schrieb:
>> Jetzt Nicht schrieb:
>>> Nochmals... und das Ganze soll?
>>
>> Das möchte ich nicht sagen und es tut auch nix zur Sache. Sry das ich
>> deine Neugierde nicht befriedigen kann ;)
>
> Du möchtest Hilfe, kannst oder möchtest aber nicht sagen für was? Hört
> sich nach nem Hochstapler an, der in einem ihm aufgetragenen Projekt in
> der Firma nicht weiterkommt ;D

Denk von mir aus was du willst, das ist mein eigenes Projekt und mir 
sitzt niemand im Nacken. Und ich habs auch nicht eilig ;) Aber ich 
diskutiere gern mit Gleichgesinnten. Bissl Erfahrungsaustausch hat noch 
keinem geschadet

von Martin S. (led_martin)


Lesenswert?

Re Né schrieb:
> Ja mit diesem Prinzip bin ich vertraut, aber hier ist das Problem das
> ich die PWM dann nicht mehr über den PIC ausgeben kann. Da er eben diese
> Modulation nicht beherscht.

Auch wenn ich auf den AVRs zuhause bin, könnte ich mir voerstellen, daß 
der PIC da was bietet. Bei den meisten AVRs kann man den UART als SPI 
Master konfigurieren, und der kann dann, dank Pufferung des 
Senderegisters, lückenlose Bitfolgen ausgeben, das lässt sich gut für 
solche Dinge zweckentfremden. Hat der PIC eine SPI mit Pufferung, die 
SPI der AVRs hat keine Pufferung, und kann damit nicht lückenlos 
ausgeben. Die auszugebenden Muster kann man vorausberechnen. Ein, an 
einen kompletten 8-Bit Port angeschlossenes, Schieberegister, getaktet 
von einem Timer-Ausgang, könnte die Bitfolgen-Ausgabe auch übernehmen. 
Daß die Audio ICs nicht unbedingt geeignet sind, kann ich mir denken, 
die spielen ja auch etwa eine Zehnerpotenz weiter unten, bei der 
Frequenz. Den Kern eines Class-D Verstärkers kann man aber auch mit 
Komparator, ein paar 74HCxx, und ein Bisschen Kleinkram diskret 
aufbauen, da sind dann solche Frequenzen erreichbar.


Re Né schrieb:
> Stufenlos (oder max. in 100Hz, besser 10Hz Schritten Linear über das
> gesamte Band) zwischen 20kHz und 150kHz währe schon optimal viel höher
> muss es nicht.

Auch damit ist der Resonanzkreis raus.


Mit freundlichen Grüßen - Martin

von .... (Gast)


Lesenswert?

Das ganze Konzept ist für den Arsch. Ist doch klar, dass du egal wie 
durch die Schaltverluste alleine die Hufen hochmachst.
Mach die Spannung kleiner, gib weniger geld aus und Häng hinten einen 
Trafo ran. Da musst du höhere ströme schalten.. aber das tut mosfets 
wesentlich weniger weh als die hohe spannung.
Ich glaube, du hast komplett am ziel vorbeientwickelt oder bist derartig 
in deiner lösung festgefahren, dass nichts anderes mehr denkbar ist.

Ich gebe zu, dass ich auch nicht fan davon bin, hier im Forum die 
Projekte zu duskutieren, weil die quasi sofortig zerrissen, 
schlechtgeredet und beneidet und lächerlich gemacht werden. Hier treiben 
sich erartig viele degenerierte Leute rum, deren horizont nicht über das 
grundlegenste rausgeht.. aber zum egopushen die fresse aufreisen als 
wären sie die geilsten.
....aber vielleicht wär eine Konzeptüberarbeitung bei dir durchaus 
sinnvoll.

Letztlich war die Idee mit dem Class-D schon sinnvoll. Die PWM ist evtl 
wirklich nicht nötig. Man kann einen ClassD aber auch selbst aufbauen.. 
da ist man nicht auf fertige Audio-chips angewiesen.

...tut mir echt leid für dich. Das schreit nach einem Redesign. 
Vielleicht solltest du dich mal mit LT-Spice vertraut machen... da muss 
man nicht sinnlos Prototypen zerschießen.

von Christian M. (Gast)


Lesenswert?

Und wenn der OP endlich mal sagen würde, wozu man sowas braucht, würde 
man bestimmt als Forum eine bessere und einfachere Lösung finden!

von Frequenznutzung (Gast)


Lesenswert?

Is doch klar, da will wer in der Umgebung DCF77 stören...

von Pandur S. (jetztnicht)


Lesenswert?

Es gibt durchaus PIC die das koennen. zB dsPIC30F2023, mit einer PLL bis 
480MHz, speziell fuer solche Anwendungen. PWM mit erhoehter Frequenz, 
und/oder Aufloesung.

: Bearbeitet durch User
von tiptop (Gast)


Lesenswert?

reine Kapazitive Last, hohe Spannung -> Piezo, Ultraschallschweissen?

von Benjamin (Gast)


Lesenswert?

Christian Müller schrieb:
> Und wenn der OP endlich mal sagen würde, wozu man sowas braucht, würde
> man bestimmt als Forum eine bessere und einfachere Lösung finden!

blablabla.

Der TE hat eine konkrete Frage gestellt - fertig.
Wer sich berufen fühlt darf darauf antworten.

Die Erfahrung zeigt: in dem Moment in dem der TE sein komplettes Projekt 
offen legt, kommen tausend Leute die
- eh alles anders machen würden
- erwähnen, sowas gäbe es doch fertig zum Kaufen
- Ein ARM besser geeignet wär als ein Pic, aber nur unter Verwendung von 
C++
- Die Elektor vor 30 Jahren eine ähnliche Schaltung drin hatte, mit 
kleinen Änderungen da, da und da, die, wenn man drüber nachdenkt, 
eigentlich doch was ganz anderes macht.

BTDT, und leider nicht nur einmal.

von temp (Gast)


Lesenswert?

Ich finde die 360.000 Stützstellen auch abwegig.

Die größte Steigung der Kurve hat der Sinus bei 0° und max. 
Aussteuerung. Der Schritt von 0 zu 0.01 (1/100stel Grad) ergibt 0,000174 
und damit 5,6mal weniger als eine Stufe deiner 10Bit Auflösung. Mit 
anderen Worten: Du fütterst die PWM im Minimum 5 mal hintereinander mit 
dem gleichen Wert. Bei kleinerer Aussteuerung und an anderen Stellen der 
Kurve noch viel häufiger. Bei 10bit Auflösung kannst du also getrost auf 
1/4 gehen. 90000 Stützellen ist immer noch heftig.

Hab ich mich verrechnet? Wenn nicht, dann ist dein Konzept schon noch 
mal überarbeitungsbedürftig.

Mehr als 10bit dürften bei den PICs nicht drin sein. Die arbeiten max. 
mit 960MHz Takt für die PWM Timer.
Schneller ist lt. meinem Kenntnisstand zur Zeit nur der STM32F334 mit 
4.608 GHz.

von Falk B. (falk)


Lesenswert?

@ temp (Gast)

>Mehr als 10bit dürften bei den PICs nicht drin sein. Die arbeiten max.
>mit 960MHz Takt für die PWM Timer.
>Schneller ist lt. meinem Kenntnisstand zur Zeit nur der STM32F334 mit
>4.608 GHz.

Ich kenn weder den PIC noch den STM im Detail, glaube aber nicht, dass 
dort wirklich 0,96 bzw. 4,6 GHz drinstecken. Solche hohen 
Zeitauflösungen werden in den meisten (allen?) Fällen über Laufzeiten 
von Gattern gemacht. Da hat man halt mal 1000 Gatter a 200ps in Reihe 
geschaltet und zapft dort das richtige Gatter an, um die passende 
Verzögerung zu erhalten.

von temp (Gast)


Lesenswert?

Falk Brunner schrieb:
> Da hat man halt mal 1000 Gatter a 200ps in Reihe
> geschaltet und zapft dort das richtige Gatter an, um die passende
> Verzögerung zu erhalten.

Das mag schon sein, trotzdem dienen diese als Eingang für die PWM Timer.
Der HRTIM des STM32F334 hat eine Auflösung von min. 217ps!

von Falk B. (falk)


Lesenswert?

@ temp (Gast)

>> Da hat man halt mal 1000 Gatter a 200ps in Reihe
>> geschaltet und zapft dort das richtige Gatter an, um die passende
>> Verzögerung zu erhalten.

>Das mag schon sein, trotzdem dienen diese als Eingang für die PWM Timer.
>Der HRTIM des STM32F334 hat eine Auflösung von min. 217ps!

Ja, alles gut, aber der TAKT liegt NICHT bei 5 GHz, sondern max. bei 
100-200 MHz. Dazwischen liegt mehr als 1 Größenordnung.

von Ge E. (re_n)


Lesenswert?

.... schrieb:
> Das ganze Konzept ist für den Arsch. Ist doch klar, dass du egal wie
> durch die Schaltverluste alleine die Hufen hochmachst.
> Mach die Spannung kleiner, gib weniger geld aus und Häng hinten einen
> Trafo ran. Da musst du höhere ströme schalten.. aber das tut mosfets
> wesentlich weniger weh als die hohe spannung.
> Ich glaube, du hast komplett am ziel vorbeientwickelt oder bist derartig
> in deiner lösung festgefahren, dass nichts anderes mehr denkbar ist.
>
> Ich gebe zu, dass ich auch nicht fan davon bin, hier im Forum die
> Projekte zu duskutieren, weil die quasi sofortig zerrissen,
> schlechtgeredet und beneidet und lächerlich gemacht werden. Hier treiben
> sich erartig viele degenerierte Leute rum, deren horizont nicht über das
> grundlegenste rausgeht.. aber zum egopushen die fresse aufreisen als
> wären sie die geilsten.
> ....aber vielleicht wär eine Konzeptüberarbeitung bei dir durchaus
> sinnvoll.
>
> Letztlich war die Idee mit dem Class-D schon sinnvoll. Die PWM ist evtl
> wirklich nicht nötig. Man kann einen ClassD aber auch selbst aufbauen..
> da ist man nicht auf fertige Audio-chips angewiesen.
>
> ...tut mir echt leid für dich. Das schreit nach einem Redesign.
> Vielleicht solltest du dich mal mit LT-Spice vertraut machen... da muss
> man nicht sinnlos Prototypen zerschießen.

>hinten einen Trafo ran

Das geht nicht, die Vorgängerversion hatte ich mit einen Ausgangstrafo 
realisiert. Die neue Variante soll ohne Auskommen und direkt einen Sinus 
Liefern sowie Laststabil sein. Das würde viele Vorteile haben. Mit Class 
D kenne ich mich bestens aus (Oh Wunder von der Ausgangsstufe her ist es 
ja wie Class D) habe mir vor Jahren mal einen 8 kanal Class D verstärker 
gebaut der läuft bei mir privat im täglichen Dauerbetrieb - feines Teil.
Das heutzutage alles im Forum zerissen wird stimmt natürlich aber da 
steh ich drüber ;) Hier und da sind schon mal gute zielführende Kommies 
gekommen :)

Festgefahren in meiner Lösugn bin ich nicht, ich bin gerne bereit alles 
über den Haufen zu werfen und anders an mein Ziel zu kommen, das mache 
ich aber erst wenn ich alle Möglichkeiten ausgeschöpft habe und merke es 
geht wirklich nicht. Aber ich bin niemand der schnell Aufgibt, sollte 
man in dieser Branche auch nicht.

von Ge E. (re_n)


Lesenswert?

temp schrieb:
> Ich finde die 360.000 Stützstellen auch abwegig.
>
> Die größte Steigung der Kurve hat der Sinus bei 0° und max.
> Aussteuerung. Der Schritt von 0 zu 0.01 (1/100stel Grad) ergibt 0,000174
> und damit 5,6mal weniger als eine Stufe deiner 10Bit Auflösung. Mit
> anderen Worten: Du fütterst die PWM im Minimum 5 mal hintereinander mit
> dem gleichen Wert. Bei kleinerer Aussteuerung und an anderen Stellen der
> Kurve noch viel häufiger. Bei 10bit Auflösung kannst du also getrost auf
> 1/4 gehen. 90000 Stützellen ist immer noch heftig.
>
> Hab ich mich verrechnet? Wenn nicht, dann ist dein Konzept schon noch
> mal überarbeitungsbedürftig.
>
> Mehr als 10bit dürften bei den PICs nicht drin sein. Die arbeiten max.
> mit 960MHz Takt für die PWM Timer.
> Schneller ist lt. meinem Kenntnisstand zur Zeit nur der STM32F334 mit
> 4.608 GHz.

Folgendes: Ich merke das einige nicht verstanden haben warum ich mit 
einer derart großen Tabelle arbeite. Ich lade keineswegs jeden einzelnen 
Wert aus der Tabelle und gebe ihn auf die PWM, das macht wie du schon 
erkannt hast keinen Sinn und so würde ich auch nie auf meine 150kHz (im 
Maximum) kommen weil der PIC mit seinen 70MIPS dafür viel zu langsam 
ist. Statdessen berechne ich (oder besser der PIC) wieviele Stellen in 
der Tabelle incrementiert werden müssen.

Um so höher die Frequenz umso mehr stellen werden in der SINETAB 
übersprungen. Und die PWM wird getriggert mit jeder Periode aktualisiert 
mit dem zugewiesen Wert aus der SINETAB. Das klappt ganz vorzüglich :D
Er ist allein durch diese prozedur aber schon zu 100% Ausgelastet also 
gerade so machbar, so das er nur diese eine Aufgabe übernehmen kann. Die 
Vorgabe Frequenz erhält er dann von einem anderen PIC über SPI.

von temp (Gast)


Lesenswert?

Falk Brunner schrieb:
> Ja, alles gut, aber der TAKT liegt NICHT bei 5 GHz, sondern max. bei
> 100-200 MHz. Dazwischen liegt mehr als 1 Größenordnung.

Ja, wirklich alles gut. Der Takt selbst ist 144MHz und wird wie auch 
immer in 32 "Häppchen" geteilt. Wie die interne Logic aufgebaut ist, 
interessiert mich weniger. Für mich als Programmierer verhält sich der 
PWM-Timer aber so, als ob er einen Takt von ca. 5GHz hat.

Bei den dsPics zählen die PWM Timer aber real an beiden Flanken der 
480MHz PLL um auf 1.02ns Auflösung zu kommen.

von Achim S. (Gast)


Lesenswert?

Re Né schrieb:
> Folgendes: Ich merke das einige nicht verstanden haben warum ich mit
> einer derart großen Tabelle arbeite.

Doch, das wurde schon verstanden, und es wurde auch erklärt, warum die 
Stützstellenzahl trotzdem viel zu groß gewählt ist:

temp schrieb:
> Die größte Steigung der Kurve hat der Sinus bei 0° und max.
> Aussteuerung. Der Schritt von 0 zu 0.01 (1/100stel Grad) ergibt 0,000174
> und damit 5,6mal weniger als eine Stufe deiner 10Bit Auflösung. Mit
> anderen Worten: Du fütterst die PWM im Minimum 5 mal hintereinander mit
> dem gleichen Wert.

Nochmal in meinen Worten: wenn du deine Stützstellen anschaust, dann 
wirst du feststellen, dass immer mindestens 5 benachbarte Werte den 
identischen Inhalt haben. Zumindest dann, wenn man sich nur die obersten 
10 Bit des Inhalts anschaut (denn mehr als diese 10 Bit löst deine PWM 
nicht auf). Wenn du also ein Viertel der Stützstellen nutzen würdest, 
dann kämen immer noch exakt die gleichen Werte bei der PWM an.

(PS: ich glaube, bei temps Rechnung fehlt noch ein Faktor 2, weil nicht 
die einfache sondern die doppelte Amplitude des Sinus mit 10 Bit 
aufgelöst wird. Also: auch ein Faktor 8 weniger an Stützstellen liefert 
dir immer noch das identische PWM-Programm)

von Ge E. (re_n)


Lesenswert?

> Nochmal in meinen Worten: wenn du deine Stützstellen anschaust, dann
> wirst du feststellen, dass immer mindestens 5 benachbarte Werte den
> identischen Inhalt haben.

Ja logisch stimmt das!

Aber nein tut mir Leid du hast es trotzdem nicht verstanden. Ich sagte 
bereits das ich nicht jeden Wert aus der Tabelle lese. Sagen wir mal so 
wenn ich jeden 35. zB nur nehme dann habe ich NIEMALS 2 mal den selben 
Wert hintereinander!! Mit der übergroßen Sinustabelle kompensiere ich 
die viel zu geringe Timerauflösung des PICs. Denk mal genau drüber nach.

von Martin S. (led_martin)


Lesenswert?

Warum hier vorallem die Stützstellen-Anzahl der Tabelle diskutiert wird, 
es ist vielleicht nicht optimal, aber es funktioniert, und der 
Flash-Speicher scheint wohl vorhanden zu sein. Das Problem, und auch die 
Fragestellung, sind die kochenden MOSFETs, und die EMV.

@Re Né (re_n):
Hast Du bedacht, daß die kapzitive Last die Grenzfrequenz des 
Ausgangsfilters ändert? Wenn die Grenzfrequenz in der Nähe der 
Arbeitsfrequenz ist, wird eine Änderung der Last sich auf die Amplitude 
auswirken. Ist die Spule des LC-Ausgangsfilters kapazitätsarm genug? 
Kapazitive Last an der Brücke bringt die Transistoren auch zum 
schwitzen.

Mit freundlichen Grüßen - Martin

Edit: Rechtschreibfehler

: Bearbeitet durch User
von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>Aber nein tut mir Leid du hast es trotzdem nicht verstanden.

Das sagt der Richtige ;-)

> Ich sagte
>bereits das ich nicht jeden Wert aus der Tabelle lese. Sagen wir mal so
>wenn ich jeden 35. zB nur nehme dann habe ich NIEMALS 2 mal den selben
>Wert hintereinander!!

Falsch! Du greifst zwar bei jedem Zugriff auf einen anderen 
TabellenINDEX zu, aber die Werte IN der Tabelle sind oft gleich!
Damit ist das Ergebnis das Gleiche.

> Mit der übergroßen Sinustabelle kompensiere ich
>die viel zu geringe Timerauflösung des PICs. Denk mal genau drüber nach.

Das solltest vor allem DU mal tun. Die Auflösung eines DACs, was hier 
die PWM ist, kann man damit NICHT erhöhen!

von Ge E. (re_n)


Lesenswert?

Martin Schlüter schrieb:

> Hast Du bedacht, daß die kapzitive Last die Grenzfrequenz des
> Ausgangsfilters ändert?

jap alles Bedacht und mit einberechnet. Ich habe die LC Glieder so 
dimensioniert das C um ein vielfaches größer ist als das Last C.

Praktisch auch verifiziert es funzt auserordentlich gut!

von Ge E. (re_n)


Lesenswert?

Falk Brunner schrieb:
> @ Re Né (re_n)
>
>>blablabla

Du meinst sicherlich das Richtige.. und was du sagst stimmt auch aber 
wir reden an einander Vorbei. Kein Thema lass es gut sein. Es geht mir 
nicht um das erzeugen des PWM das ist Abgehakt :)

von temp (Gast)


Lesenswert?

Re Né schrieb:
> Es geht mir
> nicht um das erzeugen des PWM das ist Abgehakt

Stimmt darum ging es nicht, trotzdem, wenn du an diesen Zusammenhängen 
scheiterst, nimmt dir keiner das Verständnis für den Rest ab.

von Martin S. (led_martin)


Lesenswert?

Re Né schrieb:
> jap alles Bedacht und mit einberechnet. Ich habe die LC Glieder so
> dimensioniert das C um ein vielfaches größer ist als das Last C.

Damit hast Du natürlich eine gute Stabiltät, schon vom Design her, aber 
auch das X-fache des Last-Stroms durch den Filter-C. Dieser Strom muß 
auch durch die Transistoren. Vielleicht sollte man da etwas 
Schaltungs-Stabilität aufgeben, und durch Regelungs-Intelligenz 
ersetzen. Nur so als Idee.

Irgendwie habe ich das Gefühl, daß man von den 800 kHz PWM runterkommen 
muß, das ist, gerade in der Leistungselektronik, seeeehr viel Holz. Es 
hat schon seine Gründe, daß mancher Frequenzumrichter, wenn er mal 
richtig Strom geben soll, von 16 kHz PWM auf ohrenunfreundliche 8 kHz 
wechselt.

Vielleicht wären ja auch mehrere Endstufen, die mit langsamerer, aber 
zeitversetzer, PWM arbeiten eine Idee, so wie bei mehrphasigen 
Schaltreglern.

Mit freundlichen Grüßen - Martin

von Achim S. (Gast)


Lesenswert?

Re Né schrieb:
> Denk mal genau drüber nach.

Hab ich getan. Und ich bleib dabei: mit einem Bruchteil an Stützstellen 
würdest du die identischen PWM-Werte ausgeben. Vielleicht denkst du ja 
auch mal darüber nach ;-)

Zur Erwärmung der Transistoren: dein LC-Filter (3,9µH, 330nF) hat 
rechnerisch eine Resonanz bei 140kHz, die Serienschaltung wird bei 
Resonanz niederohmig. Ich weiß, dass deine Transistoren nicht mit 140kHz 
schalten sondern mit 800kHz, aber trozdem die Frage: heizen sie 
gleichermaßen, wenn du einen 10kHz Sinus erzeugst wie wenn du einen 
140kHz Sinus erzeugst?

von David .. (volatile)


Lesenswert?

Um welchen Controller geht es genau?

von Ge E. (re_n)


Lesenswert?

Achim S. schrieb:
> Re Né schrieb:
>> Denk mal genau drüber nach.
>
> Hab ich getan. Und ich bleib dabei: mit einem Bruchteil an Stützstellen
> würdest du die identischen PWM-Werte ausgeben. Vielleicht denkst du ja
> auch mal darüber nach ;-)
>
> Zur Erwärmung der Transistoren: dein LC-Filter (3,9µH, 330nF) hat
> rechnerisch eine Resonanz bei 140kHz, die Serienschaltung wird bei
> Resonanz niederohmig. Ich weiß, dass deine Transistoren nicht mit 140kHz
> schalten sondern mit 800kHz, aber trozdem die Frage: heizen sie
> gleichermaßen, wenn du einen 10kHz Sinus erzeugst wie wenn du einen
> 140kHz Sinus erzeugst?

Zur SINETAB: die vielen Stützen ermöglichen mir Programmbedingt eine 
Frequenzänderung um einige 10Hz bis 100Hz. Wenn ich zB mit eienr 256er 
Tabelle arbeiten würde hätte ich eine wesentlich höhere Schrittweite 
sagen wir mal von einigen kHz. Ich hoffe jetzt kommt der AHA Effect bei 
dir ;)
Sprich bei sehr hohen Ausgangsfrequenzen nutze ich effektiv nur noch 
eine Handvoll sagen wir mal 5-8 Stützen aus der gesamten Sinetab, diese 
Variieren aber fortlaufen von Periode zu Periode (Stichwort 
SINETAB-Adress-Zähler-Überlauf). Bei der untersten Frequenz (20kHz) 
hingegen werden natürlich viel mehr Stützen genutzt. Und dennoch habe 
ich über den gesamten Bereich (20-120/150kHz) die selbe Schrittweite von 
ca 100Hz +/- (ich weis jetzt nicht mehr ganz genau wie viel es waren 
aber auf jeden Fall für meine Bedürfnisse ausreichend)

Ja bei einer höheren Ausgangsfrequenz erwährmen sich die MOSFETs 
stärker. Das ist natürlich ungewollt und dafür will ich auch noch eine 
Lösung finden. Ist aber jetzt erstmal Sekundär

von Ge E. (re_n)


Lesenswert?

David ... schrieb:
> Um welchen Controller geht es genau?

Ich verwende den dsPIC33EP256MC202

von Achim S. (Gast)


Lesenswert?

Re Né schrieb:
> Ich hoffe jetzt kommt der AHA Effect bei
> dir ;)

Leider liegst du immer noch daneben: die Frequenzauflösung der DDS 
ergibt sich aus der Abtastfrequenz (800kHz) und aus der Auflösung des 
Phasenakkumulators. Bei vielen DDS-Chips hat der Phasenakkumulator 32 
Bit, aber die nutzen trotzdem keine 4 Milliarden Stützstellen. Denn du 
musst nicht für jeden möglichen Wert des Phasenakkumulators auch eine 
Stützstelle vorhalten. Es reicht, wenn du genügend Stützstellen 
vorhältst, um auch bei der schnellsten Flanke noch jeden möglichen Wert 
des DAC zu treffen. Was darüber hinaus geht ist und bleibt Verschwendung 
von Speicherplatz durch das Abspeichern von redundanter Information.

Re Né schrieb:
> Ja bei einer höheren Ausgangsfrequenz erwährmen sich die MOSFETs
> stärker. Das ist natürlich ungewollt und dafür will ich auch noch eine
> Lösung finden. Ist aber jetzt erstmal Sekundär

Heißt das, dass sie bei einem 10kHz Sinus kalt bleiben oder heißt das, 
dass sie bei einem 10kHz Sinus auf 83° statt auf 95° hochheizen?

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>Zur SINETAB: die vielen Stützen ermöglichen mir Programmbedingt eine
>Frequenzänderung um einige 10Hz bis 100Hz.

Nö, weil schon mehrfach erklärt. Eine DDS hat z.B. 32 Bit Phasenakku 
(virtuelle Tabelle mit 4e9 Eiunträgen), davon werden aber nur je nach 
Chip 10-14 der oberen Bits benutzt (1024-16384 Tabelleneinträge), um die 
Sinustabelle zu addressieren. Dort kommen dann 8-10 Bit breite Werte 
raus, die an den DAC gehen.

> Wenn ich zB mit eienr 256er
>Tabelle arbeiten würde hätte ich eine wesentlich höhere Schrittweite
>sagen wir mal von einigen kHz.

Nein.

>SINETAB-Adress-Zähler-Überlauf). Bei der untersten Frequenz (20kHz)

Warum schreibst du dann was von 0-150kHz?

>Ja bei einer höheren Ausgangsfrequenz erwährmen sich die MOSFETs
>stärker. Das ist natürlich ungewollt und dafür will ich auch noch eine
>Lösung finden. Ist aber jetzt erstmal Sekundär

Was ist denn dann primär? Ich dachte das war die Erwärmung der Endstufe?

von Jürgen L. (jliegner)


Lesenswert?

Re Né schrieb:
> Zur SINETAB: die vielen Stützen ermöglichen mir Programmbedingt eine
> Frequenzänderung um einige 10Hz bis 100Hz. Wenn ich zB mit eienr 256er
> Tabelle arbeiten würde hätte ich eine wesentlich höhere Schrittweite
> sagen wir mal von einigen kHz. Ich hoffe jetzt kommt der AHA Effect bei
> dir ;)

Man, du stehst ganz schön auf der Leitung. Wenn in deiner langen Tabelle 
immer wenigstens 4 gleiche Einträge hintereinander kommen, kannst du 
auch ein 4tel benutzen und den Index auch durch 4 teilen. Ist das so 
schwer zu verstehen?

von Ge E. (re_n)


Lesenswert?

Achim S. schrieb:
> Re Né schrieb:
>> Ich hoffe jetzt kommt der AHA Effect bei
>> dir ;)
>
> Leider liegst du immer noch daneben: die Frequenzauflösung der DDS
> ergibt sich aus der Abtastfrequenz (800kHz) und aus der Auflösung des
> Phasenakkumulators. Bei vielen DDS-Chips hat der Phasenakkumulator 32
> Bit, aber die nutzen trotzdem keine 4 Milliarden Stützstellen. Denn du
> musst nicht für jeden möglichen Wert des Phasenakkumulators auch eine
> Stützstelle vorhalten. Es reicht, wenn du genügend Stützstellen
> vorhältst, um auch bei der schnellsten Flanke noch jeden möglichen Wert
> des DAC zu treffen. Was darüber hinaus geht ist und bleibt Verschwendung
> von Speicherplatz durch das Abspeichern von redundanter Information.
>
> Re Né schrieb:
>> Ja bei einer höheren Ausgangsfrequenz erwährmen sich die MOSFETs
>> stärker. Das ist natürlich ungewollt und dafür will ich auch noch eine
>> Lösung finden. Ist aber jetzt erstmal Sekundär
>
> Heißt das, dass sie bei einem 10kHz Sinus kalt bleiben oder heißt das,
> dass sie bei einem 10kHz Sinus auf 83° statt auf 95° hochheizen?

Ein integrierter DSS zB gibt es sehr schöne von Analog Devices (die 
haben nur leider keine mit PWM Ausgang, oder doch?) hat eine sehr 
preziese Timerauflösung die mir der PIC bei 800kHz nicht mehr liefern 
kann. Wenn ich den Timerpreload auch nur um "1" verändere habe ich 
gleich eine gewaltige Frequenzänderung. Ergo -> ich musste das anders 
lösen.

Stell dir mal vor die SINETAB hat 256 Stützen und ich lade für Frequenz 
A aller 1,25µs jeden 3. Wert dann habe ich zB jetzt meine Frequenz 
A=20kHz.
So udn nun will ich aber einen Step höher gehen, ich lade also aller 
1,25µs jeden 4. Wert. Die Frequenz B die ich jetzt habe ist in jedem 
Fall um einiges höher als die Frequenz die ich mit meiner 36k Tabelle 
haben würde. oder anders gesagt wenn ich auf einen Pool aus 36.000 
Stützen zurück greiben kann habe ich ebensoviele Schritte die ich 
zwischen meinen 20 udn 150kHz variieren kann. Nähmlich theor. 3,6Hz (die 
ich in der Praxis natürlich nie erreiche, weil noch andere Faktoren 
einfließen). Bei einer 256er Tabelle sind das theor. 508Hz 
Schrittauflösung.

Nochmal ich generiere die hohe Schrittauflösung nicht über einen Timer 
(dieser müsste im ps bereich zählen und das auch noch linear über den 
gesamten Bereich). Sondern über eine überdimensionierte Sinustabelle die 
aller 1,25µs Abgefragt wird. Daraus entnehme ich mir dann je nach 
Frquenz die ich am Ausgang möchte jeden 40. oder 76 oder 4 Wert udn habe 
somit eine Wunderbar hohe Schritt Auflösung am Ausang. Mit einer kleinem 
Tabelle ist das nach diesem Prinziep so wie ich es mache nicht möglich

von Ge E. (re_n)


Lesenswert?

Jürgen Liegner schrieb:
> Re Né schrieb:
>> Zur SINETAB: die vielen Stützen ermöglichen mir Programmbedingt eine
>> Frequenzänderung um einige 10Hz bis 100Hz. Wenn ich zB mit eienr 256er
>> Tabelle arbeiten würde hätte ich eine wesentlich höhere Schrittweite
>> sagen wir mal von einigen kHz. Ich hoffe jetzt kommt der AHA Effect bei
>> dir ;)
>
> Man, du stehst ganz schön auf der Leitung. Wenn in deiner langen Tabelle
> immer wenigstens 4 gleiche Einträge hintereinander kommen, kannst du
> auch ein 4tel benutzen und den Index auch durch 4 teilen. Ist das so
> schwer zu verstehen?

Du checkst es ebenfalls nicht XD ICH WILL KEINE FESTE UNVERÄNDERBARE 
Ausgangsfrequenz dann kann ich auch ne 8er Tabelle nehmen. Ich möchte 
zwischen 20 und 150kHz in zB 100Hz schritten JEDE Beliebige Frequenz 
fahren!

von Ge E. (re_n)


Lesenswert?

Falk Brunner schrieb:
> @ Re Né (re_n)
>

>>SINETAB-Adress-Zähler-Überlauf). Bei der untersten Frequenz (20kHz)
>
> Warum schreibst du dann was von 0-150kHz?
>
>>Ja bei einer höheren Ausgangsfrequenz erwährmen sich die MOSFETs
>>stärker. Das ist natürlich ungewollt und dafür will ich auch noch eine
>>Lösung finden. Ist aber jetzt erstmal Sekundär. ich habe nie 0-150kHz 
geschrieben. Es ist immer die Rede von 20-150 gewesen
>
> Was ist denn dann primär? Ich dachte das war die Erwärmung der Endstufe?

Weil die Erwährmung primär nicht vom Filter kommt. (Auch wenn ich den 
Filter Abhänge erwährmen sich die MOSFETs sehr schnell)

Das sind reinweg die Schaltverluste

: Bearbeitet durch User
von Achim S. (Gast)


Lesenswert?

Ach Kollege, zieh doch mal wenigstens als theoretische Möglichkeit in 
Betracht, dass temp und Falk und Jürgen und ich wissen, wie eine DDS 
funktioniert. Und - noch schlimmer - dass wir evtl. vielleicht sogar 
recht haben könnten. Dann löst sich vielleicht der Knoten in deinem 
Kopf, dass die Auflösung des Phasenakkumulators und die Anzahl der 
Stützstellen gleich groß sein müssten.

Re Né schrieb:
>> Was ist denn dann primär? Ich dachte das war die Erwärmung der Endstufe?
>
> Weil die Erwährmung primär nicht vom Filter kommt. (Auch wenn ich den
> Filter Abhänge erwährmen sich die MOSFETs sehr schnell)

Danke, dass du es immerhin auf Falks Nachfrage hin begründest. Wenn du 
völlig ohne Last (und ohne Filter) heiße MOSFETs bekommst, dann liegt 
der Grund im Aufbau deiner Halbbrücke und in ihrer Ansteuerung. Ein 
Schaltplan und Oszi-Aufnahmen der Ansteuerung wären hilfreich...

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>Ein integrierter DSS zB gibt es sehr schöne von Analog Devices (die
>haben nur leider keine mit PWM Ausgang, oder doch?)

Nein.

> hat eine sehr
>preziese Timerauflösung die mir der PIC bei 800kHz nicht mehr liefern
>kann. Wenn ich den Timerpreload auch nur um "1" verändere habe ich

MÖÖP! There is your problem! An der ABTASTFREEQUENZ, sprich, deiner 
Timerperiode, wird GAR NICHTS geändert! Du muss den Phasenakku in 
Software nachbilden!

>gleich eine gewaltige Frequenzänderung. Ergo -> ich musste das anders
>lösen.

Ja, mit DDS!

1
uin16_t sinus[1024]={etc.}
2
volatile dds_inc;
3
4
timer_isr() {
5
  static uint32_t phase;
6
7
  phase += dds_inc;
8
  pwm = sinus[phase>>20];
9
}

Dann klappts auch mit der feinen Frequenzauflösung.

>gesamten Bereich). Sondern über eine überdimensionierte Sinustabelle die

Welche du nicht brauchst. Lass dir einfach mal die Zahlen auf den UART 
oder so ausgeben und staune.

>aller 1,25µs Abgefragt wird. Daraus entnehme ich mir dann je nach
>Frquenz die ich am Ausgang möchte jeden 40. oder 76 oder 4 Wert udn habe
>somit eine Wunderbar hohe Schritt Auflösung am Ausang. Mit einer kleinem
>Tabelle ist das nach diesem Prinziep so wie ich es mache nicht möglich

Doch. Siehe oben. Macht jede DDS so. Ob nun 1024 oder 2048 muss man mal 
durchrechnen bzw. probieren. Deine 36.000 bringen rein gar nichts. Schau 
dir die Werte in der Ausgabe an!

von Stephan H. (stephan2807)


Lesenswert?

Re Né schrieb:
> Nochmal ich generiere die hohe Schrittauflösung nicht über einen Timer
> (dieser müsste im ps bereich zählen und das auch noch linear über den
> gesamten Bereich). Sondern über eine überdimensionierte Sinustabelle die
> aller 1,25µs Abgefragt wird. Daraus entnehme ich mir dann je nach
> Frquenz die ich am Ausgang möchte jeden 40. oder 76 oder 4 Wert udn habe
> somit eine Wunderbar hohe Schritt Auflösung am Ausang. Mit einer kleinem
> Tabelle ist das nach diesem Prinziep so wie ich es mache nicht möglich

Das haben glaub ich alle verstanden. Wenn der Speicher da ist kannst Du 
die Tabelle so groß machen wie Du willst.
Der Punkt ist, dass die Stützstellen eine extrem hohe Auflösung haben. 
Die 10 Bit PWM-Auflösung gibt die Auflösung schon lange nicht mehr her 
und die Schaltzeiten sind auch noch leicht variabel.
Ist schön für die "Feature-Liste", du könntest aber 75% der Stützstellen 
erst mal ohne mit der Wimper zu zucken wegwerfen. Die Auflösung des 
Phasenakkumulators meinetwegen so lassen wie er ist und fürs 
nachschlagen in der Tabelle um 2 Bit shiften.

Allerdings darfst Du genaugenommen nicht die Tabelle einfach so für eine 
Andere Ausgangsfrequenz bei unveränderter PWM-Frequenz verwenden. Du 
müsstest über die Stützstellen integrieren. Und dann kosten die 
zusätzlichen Stützstellen viel Zeit.

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>Du checkst es ebenfalls nicht XD ICH WILL KEINE FESTE UNVERÄNDERBARE
>Ausgangsfrequenz dann kann ich auch ne 8er Tabelle nehmen. Ich möchte
>zwischen 20 und 150kHz in zB 100Hz schritten JEDE Beliebige Frequenz
>fahren!

Das schafft eine DDS mit deutlich weniger als 36.000 Sinusstützstellen. 
OK, 8 sind etwas zu wenig ;-)
Grob gesagt reicht eine Anzahl, die der effektiven Bitbreite des DACs 
entspricht, vielleicht einen Tick mehr.

von Ge E. (re_n)


Lesenswert?

Achim S. schrieb:
> Ach Kollege, zieh doch mal wenigstens als theoretische Möglichkeit in
> Betracht, dass temp und Falk und Jürgen und ich wissen, wie eine DDS
> funktioniert. Und - noch schlimmer - dass wir evtl. vielleicht sogar
> recht haben könnten. Dann löst sich vielleicht der Knoten in deinem
> Kopf, dass die Auflösung des Phasenakkumulators und die Anzahl der
> Stützstellen gleich groß sein müssten.
>
> Re Né schrieb:
>>> Was ist denn dann primär? Ich dachte das war die Erwärmung der Endstufe?
>>
>> Weil die Erwährmung primär nicht vom Filter kommt. (Auch wenn ich den
>> Filter Abhänge erwährmen sich die MOSFETs sehr schnell)
>
> Danke, dass du es immerhin auf Falks Nachfrage hin begründest. Wenn du
> völlig ohne Last (und ohne Filter) heiße MOSFETs bekommst, dann liegt
> der Grund im Aufbau deiner Halbbrücke und in ihrer Ansteuerung. Ein
> Schaltplan und Oszi-Aufnahmen der Ansteuerung wären hilfreich...

Ich möchte mich erstmal für meine Wortwahl entschuldigen die nicht immer 
Angemessen ist, ich wollte niemanden auf den Schlips treten. Und keiner 
Bezweifelt das ihr wisst wie eine DDS funktioniert.

Nur mein Programm arbeitet nicht nach dem Konventionellen Prinziep von 
dem ihr Ausgeht...

Zur Ansteuerung: Wie ich weiter oben schon gesagt hatte, die MOSFETs 
werden mit extrem sauberen 65ns Flanken angesteuert die Treiber sitzt 
gerade mal 1cm vom MOSFET entfernt. Sie schalten wirklich extrem sauber 
ohne Spikes und gegenseitige Beeinflussung. Die Totzeit ist ebenfalls 
korrekt.

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>Lösung finden. Ist aber jetzt erstmal Sekundär. ich habe nie 0-150kHz
>geschrieben. Es ist immer die Rede von 20-150 gewesen

Wollen wir wetten? Im Eröffnungsbeitrag!

Beitrag "Sinus bis 150kHz mit Leistung aus PWM"

"Tja verzwickte Situation, kennt ihr noch andere Möglichkeiten? Die
gewünschen 0-150 kHz analog verstärken fällt ja wohl auch flach da dort
dann der Wirkungsgrad theor. nur 62,5% betragen würde."

>Das sind reinweg die Schaltverluste

Sicher. Hast du mal grob gerechnet oder gemessen, wieviel Schaltenergie 
pro Schaltvorgang verheizt wird?

von Achim S. (Gast)


Lesenswert?

Re Né schrieb:
> Zur Ansteuerung: Wie ich weiter oben schon gesagt hatte, die MOSFETs
> werden mit extrem sauberen 65ns Flanken angesteuert die Treiber sitzt
> gerade mal 1cm vom MOSFET entfernt. Sie schalten wirklich extrem sauber
> ohne Spikes und gegenseitige Beeinflussung. Die Totzeit ist ebenfalls
> korrekt.

kannst du uns dazu Messungen zeigen? Wenn wir einfach nur daran glauben 
sollen, dass "eigentlich alles perfekt läuft", dann können wir dem Grund 
der Erwärmung nicht auf die Spur kommen.

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>Nur mein Programm arbeitet nicht nach dem Konventionellen Prinziep von
>dem ihr Ausgeht...

Ok, aber dann könne man das vielleicht. Ist aber nebensächlich, das 
interssiert die Endstufe keine Sekunde ;-)

>Zur Ansteuerung: Wie ich weiter oben schon gesagt hatte, die MOSFETs
>werden mit extrem sauberen 65ns Flanken angesteuert die Treiber sitzt
>gerade mal 1cm vom MOSFET entfernt. Sie schalten wirklich extrem sauber
>ohne Spikes und gegenseitige Beeinflussung. Die Totzeit ist ebenfalls
>korrekt.

Schön, aber dadurch verschwindet nicht die minimal nötige 
Verlustleistung. Bei ~500V Spitzenspannung ein ein paar pF 
Streukapazität kommt da schon was zusammen. Hast du mal gemessen, ob 
vielleicht DOCH kurz ein Querstom fließt?

von Ge E. (re_n)


Lesenswert?

Falk Brunner schrieb:
> @ Re Né (re_n)
>
>>Lösung finden. Ist aber jetzt erstmal Sekundär. ich habe nie 0-150kHz
>>geschrieben. Es ist immer die Rede von 20-150 gewesen
>
> Wollen wir wetten? Im Eröffnungsbeitrag!

Ach echt? Tja dann habe ich das nur zur Verdeutlichung des Prinzieps 
geschreiben, denn Theor könnte ich auch jede Beliebige Gleichspannung 
damit ausgeben.

>
> Beitrag "Sinus bis 150kHz mit Leistung aus PWM"
>
> "Tja verzwickte Situation, kennt ihr noch andere Möglichkeiten? Die
> gewünschen 0-150 kHz analog verstärken fällt ja wohl auch flach da dort
> dann der Wirkungsgrad theor. nur 62,5% betragen würde."
>
>>Das sind reinweg die Schaltverluste
>
> Sicher. Hast du mal grob gerechnet oder gemessen, wieviel Schaltenergie
> pro Schaltvorgang verheizt wird?

Nein. Aber sicher würde ich da auf einen beachtlichen Heizwert Wert 
kommen. Und dann steh ich weider am Anfang. Wiegesagt ich habe schon bei 
der Erstellung des Konzepts damit gerechnet das die Verlustleistung viel 
zu hoch sein wird. Und genau darum geht es jetzt.

von Ge E. (re_n)


Lesenswert?

Achim S. schrieb:
> Re Né schrieb:
>> Zur Ansteuerung: Wie ich weiter oben schon gesagt hatte, die MOSFETs
>> werden mit extrem sauberen 65ns Flanken angesteuert die Treiber sitzt
>> gerade mal 1cm vom MOSFET entfernt. Sie schalten wirklich extrem sauber
>> ohne Spikes und gegenseitige Beeinflussung. Die Totzeit ist ebenfalls
>> korrekt.
>
> kannst du uns dazu Messungen zeigen? Wenn wir einfach nur daran glauben
> sollen, dass "eigentlich alles perfekt läuft", dann können wir dem Grund
> der Erwärmung nicht auf die Spur kommen.

Nicht heute und vlei nicht morgen aber wenn es die Zeit zu lässt gerne

von Ge E. (re_n)


Lesenswert?

Falk Brunner schrieb:
> @ Re Né (re_n)
>

>
> Schön, aber dadurch verschwindet nicht die minimal nötige
> Verlustleistung. Bei ~500V Spitzenspannung ein ein paar pF
> Streukapazität kommt da schon was zusammen. Hast du mal gemessen, ob
> vielleicht DOCH kurz ein Querstom fließt?

Ja das werde ich auf jeden Fall auch noch Untersuchen :)

von Achim S. (Gast)


Lesenswert?

Re Né schrieb:
> Nein. Aber sicher würde ich da auf einen beachtlichen Heizwert Wert
> kommen.

Nicht vermuten, rechnen (wenigstens mal grob)!

10pF Aufladen auf 500V macht eine Energie von 1,25µJ, genau so viel 
Energie bleibt auch im Transistor hängen. Das 800000 mal pro s macht 
genau 1W. 1W heizt zwar etwas, ist aber für einen Leistungstransistor 
keine wirkliche Sache. Also: reden wir davon, dass die Teile sich mit 1W 
etwas erwärmen? Oder davon, dass sie mit zig Watt kaputt geheizt werden?

von Falk B. (falk)


Lesenswert?

@ Re Né (re_n)

>> Sicher. Hast du mal grob gerechnet oder gemessen, wieviel Schaltenergie
>> pro Schaltvorgang verheizt wird?

>Nein. Aber sicher würde ich da auf einen beachtlichen Heizwert Wert
>kommen. Und dann steh ich weider am Anfang. Wiegesagt ich habe schon bei
>der Erstellung des Konzepts damit gerechnet das die Verlustleistung viel
>zu hoch sein wird. Und genau darum geht es jetzt.

Nein, GERECHNET hast du eben NICHT, sondern nur angenommen!

Man hätte WENIGSTENS mal die Daten aus dem Datenblatt nehmen können. 
Dort steht

Eon = 57uJ, Eoff = 20uJ.

Macht bei 800 kHz mindestens 61,6W pro MOSFET! (wenn die 
Schaltbedingungen vergleichbar sind)

Prost Mahlzeit!

von Ge E. (re_n)


Lesenswert?

Achim S. schrieb:
> Re Né schrieb:
>> Nein. Aber sicher würde ich da auf einen beachtlichen Heizwert Wert
>> kommen.
>
> Nicht vermuten, rechnen (wenigstens mal grob)!
>
> 10pF Aufladen auf 500V macht eine Energie von 1,25µJ, genau so viel
> Energie bleibt auch im Transistor hängen. Das 800000 mal pro s macht
> genau 1W. 1W heizt zwar etwas, ist aber für einen Leistungstransistor
> keine wirkliche Sache. Also: reden wir davon, dass die Teile sich mit 1W
> etwas erwärmen? Oder davon, dass sie mit zig Watt kaputt geheizt werden?

Letzteres, wobei ich noch keinen geschrottet habe, ich schalte 
rechtzeitig ab

von Ge E. (re_n)


Lesenswert?

Falk Brunner schrieb:
> @ Re Né (re_n)
>

> Eon = 57uJ, Eoff = 20uJ.
>
> Macht bei 800 kHz mindestens 61,6W pro MOSFET! (wenn die
> Schaltbedingungen vergleichbar sind)
>
> Prost Mahlzeit!

Jap und da sind diese MOSFETS sogar noch gut. Aber wenn du einen 
geeigneteren kennst kannst du mir ihn gerne mitteilen. Nur TO-247

von al3ko (Gast)


Lesenswert?

Wie schnell schalten die FETs denn? Die 65ns sind am Gate. Interessant 
sind aber dI/dt und dU/dt am Ausgang, sprich drain-source. Wie sieht die 
Ansteuerung aus? 0V für aus und 20V für ein?

von Ge E. (re_n)


Lesenswert?

al3ko schrieb:
> Wie schnell schalten die FETs denn? Die 65ns sind am Gate. Interessant
> sind aber dI/dt und dU/dt am Ausgang, sprich drain-source. Wie sieht die
> Ansteuerung aus? 0V für aus und 20V für ein?

Die FETS schalten auch tatsächlich so schnell.

Im Moment bekommen die: AUS=0V EIN=18V (wie es auch im Datenblatt steht)

Im nächsten Schritt sehe ich vor: für AUS= -9V und EIN=18V

von al3ko (Gast)


Lesenswert?

Re Né schrieb:
> al3ko schrieb:
>> Wie schnell schalten die FETs denn? Die 65ns sind am Gate. Interessant
>> sind aber dI/dt und dU/dt am Ausgang, sprich drain-source. Wie sieht die
>> Ansteuerung aus? 0V für aus und 20V für ein?
>
> Die FETS schalten auch tatsächlich so schnell.
>
> Im Moment bekommen die: AUS=0V EIN=18V (wie es auch im Datenblatt steht)
>
> Im nächsten Schritt sehe ich vor: für AUS= -9V und EIN=18V

Also 65ns für 500V? Finde ich relativ langsam für SiC. Ich schalte 400V 
in weniger als 30ns. Darüber hinaus können 800kHz auch den Gate-Treiber 
ziemlich belasten. Hast du die Temperatur des Treiber-ICs mal überwacht?

von Ge E. (re_n)


Lesenswert?

al3ko schrieb:
> Re Né schrieb:
>> al3ko schrieb:
>>> Wie schnell schalten die FETs denn? Die 65ns sind am Gate. Interessant
>>> sind aber dI/dt und dU/dt am Ausgang, sprich drain-source. Wie sieht die
>>> Ansteuerung aus? 0V für aus und 20V für ein?
>>
>> Die FETS schalten auch tatsächlich so schnell.
>>
>> Im Moment bekommen die: AUS=0V EIN=18V (wie es auch im Datenblatt steht)
>>
>> Im nächsten Schritt sehe ich vor: für AUS= -9V und EIN=18V
>
> Also 65ns für 500V? Finde ich relativ langsam für SiC. Ich schalte 400V
> in weniger als 30ns. Darüber hinaus können 800kHz auch den Gate-Treiber
> ziemlich belasten. Hast du die Temperatur des Treiber-ICs mal überwacht?

Ich bin mir bewusst das die Schaltzeiten runter müssen. Die jetzigen 
Treiber leisten 4A Spitze. Aber mit nem Rg von 6,8R kommen die im Moment 
noch zurecht. Habe den RG auch schon auf 0 gesetzt und wie erwartet hat 
es den Treiber dann zerlegt ^^ Der neue Treiber leistet 40A Spitze und 
schaltet bis 35V. Mal sehen was da so geht.

von al3ko (Gast)


Lesenswert?

Re Né schrieb:
> Ich bin mir bewusst das die Schaltzeiten runter müssen. Die jetzigen
> Treiber leisten 4A Spitze. Aber mit nem Rg von 6,8R kommen die im Moment
> noch zurecht. Habe den RG auch schon auf 0 gesetzt und wie erwartet hat
> es den Treiber dann zerlegt ^^ Der neue Treiber leistet 40A Spitze und
> schaltet bis 35V. Mal sehen was da so geht.

Ich frage schlichtweg, weil:
280mOhm sind nicht gerade wenig für SiC. Cree hat m.E. eine wesentlich 
bessere Auswahl. Wenn du einen FET mit niedrigerem R_DS bekommst, 
könntest du die Leitverluste reduzieren und somit ggf. dem thermischen 
Tod entkommen. Das setzt aber voraus, dass deine Treiber-ICs die höhere 
Eingangskapazität aushalten.

Die IXDN609 Treiber sind ganz okay. Dort gibt es ein Modell, dass auf 
der Unterseite eine Kühlplatte besitzt. Ggf. könntest du damit die 
größere Eingangskapaztität aushalten.

Ferner ist interessant zu erfahren, wie du die FETs in deine Schaltung 
integriert hast. Vor allem die common-source Induktivität spukt dir bei 
den Transienten in die Suppe.

Auch wenn du sagst, dass die Transienten astrein und sauber aussehen, 
glaube ich dir nicht so ganz. Denn dann hättest du kein EMV Problem ;)

von Falk B. (falk)


Lesenswert?

@al3ko (Gast)

>280mOhm sind nicht gerade wenig für SiC. Cree hat m.E. eine wesentlich
>bessere Auswahl. Wenn du einen FET mit niedrigerem R_DS bekommst,
>könntest du die Leitverluste reduzieren und somit ggf. dem thermischen
>Tod entkommen.

Was haben Leitverluste mit Leerlauf zu tun?

von Ge E. (re_n)


Lesenswert?

al3ko schrieb:
> Re Né schrieb:
>> Ich bin mir bewusst das die Schaltzeiten runter müssen. Die jetzigen
>> Treiber leisten 4A Spitze. Aber mit nem Rg von 6,8R kommen die im Moment
>> noch zurecht. Habe den RG auch schon auf 0 gesetzt und wie erwartet hat
>> es den Treiber dann zerlegt ^^ Der neue Treiber leistet 40A Spitze und
>> schaltet bis 35V. Mal sehen was da so geht.
>
> Ich frage schlichtweg, weil:
> 280mOhm sind nicht gerade wenig für SiC. Cree hat m.E. eine wesentlich
> bessere Auswahl. Wenn du einen FET mit niedrigerem R_DS bekommst,
> könntest du die Leitverluste reduzieren und somit ggf. dem thermischen
> Tod entkommen. Das setzt aber voraus, dass deine Treiber-ICs die höhere
> Eingangskapazität aushalten.
>
> Die IXDN609 Treiber sind ganz okay. Dort gibt es ein Modell, dass auf
> der Unterseite eine Kühlplatte besitzt. Ggf. könntest du damit die
> größere Eingangskapaztität aushalten.
>
> Ferner ist interessant zu erfahren, wie du die FETs in deine Schaltung
> integriert hast. Vor allem die common-source Induktivität spukt dir bei
> den Transienten in die Suppe.
>
> Auch wenn du sagst, dass die Transienten astrein und sauber aussehen,
> glaube ich dir nicht so ganz. Denn dann hättest du kein EMV Problem ;)

Die geringe Eingangskapazität war ein Kriterium bei der Wahl des 
passenden FETs.

Im Moment habe ich auch kein EMV Problem (kommt vlei im Ausgangspost 
anders rüber). Aber ich erwarte heftige Probleme wenn ich die SiCs mit 
maximaler Härte ansteuere (sprich Rg=0R). Die Leitungszüge auf die es 
ankommt sind alle so kurz wie möglich gehalten. Wenn ich schätzen müsste 
würde ich sagen beim Com-Source unterer 2 stelliger nH Bereich. Aber 
Nagel mich jetzt bitte nicht auf die Zahl fest ;)
Abblockkondis sind nicht mal 5mm vom Bestimmungsort entfernt.

von al3ko (Gast)


Lesenswert?

Falk Brunner schrieb:
> @al3ko (Gast)
>
>>280mOhm sind nicht gerade wenig für SiC. Cree hat m.E. eine wesentlich
>>bessere Auswahl. Wenn du einen FET mit niedrigerem R_DS bekommst,
>>könntest du die Leitverluste reduzieren und somit ggf. dem thermischen
>>Tod entkommen.
>
> Was haben Leitverluste mit Leerlauf zu tun?

Nichts. Leerlauf muss ich wohl in der ganzen Diskussion über die DDS 
überlesen haben. Magst du mir bitte die Stelle in diesem ellenlangen 
Thread zeigen?

Ich habe mich darauf bezogen:
>Am Ausgang Gesucht: Sinus mit bis zu 150kHz, 1kVss ca 250Veff. um die
>1,5kW.

Und dann stand noch irgendwo 800kHz Schaltfrequenz.

Und wenn man bereits im Leerlauf in thermische Schwierigkeiten kommt, 
wirds mit 1.5kW sowieso nichts bei 800kHz.

von Ge E. (re_n)


Lesenswert?

> Und wenn man bereits im Leerlauf in thermische Schwierigkeiten kommt,
> wirds mit 1.5kW sowieso nichts bei 800kHz.

Das ist der springende Punkt, darumgehts hier.

von Falk B. (falk)


Lesenswert?

@ al3ko (Gast)

>> Was haben Leitverluste mit Leerlauf zu tun?

>Nichts. Leerlauf muss ich wohl in der ganzen Diskussion über die DDS
>überlesen haben.

Passiert.

> Magst du mir bitte die Stelle in diesem ellenlangen
>Thread zeigen?

Beitrag "Re: Sinus bis 150kHz mit Leistung aus PWM"

"Nach 1-2 min gehen die aber schon in Richtung 90°
(ohne Last, mal abgesehen vom Tiefpassfilter)"

von al3ko (Gast)


Lesenswert?

Re Né schrieb:
> Im Moment habe ich auch kein EMV Problem (kommt vlei im Ausgangspost
> anders rüber). Aber ich erwarte heftige Probleme wenn ich die SiCs mit
> maximaler Härte ansteuere (sprich Rg=0R). Die Leitungszüge auf die es
> ankommt sind alle so kurz wie möglich gehalten. Wenn ich schätzen müsste
> würde ich sagen beim Com-Source unterer 2 stelliger nH Bereich. Aber
> Nagel mich jetzt bitte nicht auf die Zahl fest ;)
> Abblockkondis sind nicht mal 5mm vom Bestimmungsort entfernt.

Ich spreche auch eher von den zahlreichen nH im TO-247 Package.

Ich habe viele Wechselrichter mit SiC FETs gesehen, bei denen die drei 
Beinchen der diskreten Bauteile zuviel nH in die Schaltung eingebracht 
haben und Störungen verursachten.

Was bei IGBTs alles noch okay ist, ist bei SiC bereits zu viel. Hart 
aber wahr. Eigentlich sollte man sogar TO-247 für SiC grundsätzlich 
verbieten. Weil aber die Industrie eine 1:1 Alternative zu deren IGBTs 
will, liefern ROHM und co. SiC in den beschissenen TO-247 Gehäusen.

von Ge E. (re_n)


Lesenswert?

al3ko schrieb:

> Ich spreche auch eher von den zahlreichen nH im TO-247 Package.
Darauf habe ich leider keinen Einfluss, ich kann nur schauen ob ich 
einen geeigneteren FET finde, sollte das dass Problem sein.

> Ich habe viele Wechselrichter mit SiC FETs gesehen, bei denen die drei
> Beinchen der diskreten Bauteile zuviel nH in die Schaltung eingebracht
> haben und Störungen verursachten.
Glaube ich gern

> Was bei IGBTs alles noch okay ist, ist bei SiC bereits zu viel. Hart
> aber wahr. Eigentlich sollte man sogar TO-247 für SiC grundsätzlich
> verbieten. Weil aber die Industrie eine 1:1 Alternative zu deren IGBTs
> will, liefern ROHM und co. SiC in den beschissenen TO-247 Gehäusen.
Jetzt hast du aber wieder ein Thema angesprochen ^^ Will das jetzt hier 
nicht vertiefen

von al3ko (Gast)


Lesenswert?

Falk Brunner schrieb:
> Beitrag "Re: Sinus bis 150kHz mit Leistung aus PWM"
>
> "Nach 1-2 min gehen die aber schon in Richtung 90°
> (ohne Last, mal abgesehen vom Tiefpassfilter)"

Okay, hatte ich tatsächlich übersehen. Danke für die Aufklärung.

Bevor wir hier weiterreden, sollte man tatsächlich mal die Bilder vom 
Scope reinstellen.

von Ge E. (re_n)


Lesenswert?

Ich werde versuchen in den kommenden Tagen die Scopes zu posten

von Ge E. (re_n)


Angehängte Dateien:

Lesenswert?

Auf dem Bild ist das Gate Signal dargestellt, weis jetzt nicht mehr 
genau ob das die High oder Low Side war - sahen beide gleich aus. Es 
machte ebenfalls keinen Unterschied ob mit oder ohne Last. Das war noch 
mit dem "alten" Treiber und Rg=6,8R.

Habe jetzt einen neuen Treiber genommen der ca.9-12A Spitze liefert 
(müsste ich jetzt nach schauen). Rg=0R  Damit erreiche ich Rise/Fall 
Times zwischen 10-15ns (das Oszi löst "nur" bis auf 5ns auf) das ist 
schon ganz ordentlich, allerdings habe ich erwartungsgemäß jetzt kleine 
Spikes drauf (+/-5V Ohne Last) und sobald ich die Versorgung für die 
SiCs langsam aufdrehe werden sie schlimmer sowie auch die Oberwellen.

Mein nächster Schritt wird es sein einen diskreten Treiber aufzubauen, 
da ich auch nach längerer Recherche keinen für mich passenden Treiber 
finden konnte. Der neue Treiber soll das Gate mit -5/+18V ansteuern.

Dessweiteren will ich noch die Querströme messen, ich habe den verdacht 
das die SiCs nicht immer sauber Ausschalten was auch ein Grund dafür ist 
das gate mit -5V anzusteuern.

von J. S. (engineer) Benutzerseite


Lesenswert?

Unabhängig von Deinen eigentlichen Problemen, muss ich Falk Recht geben: 
eine derart große Auflösung der Tabelle ist nicht nötig. Ich habe in 
meinem Sinusartikel gezeigt, wie man das einfacher machen kann: Kleine 
Tabelle, virtueller steiler Filter in Software per IIR und 
Phasenkompensation, damit die Verschiebung durch den Filter stimmt. Du 
bekommst dann einen sehr viel exakteren Sinuswert als Vorgabe, wenn du 
DDS betreibst, also die Frequenz dynamisch verstellst.

Ok, das hilft Dir jetzt erstmal nicht weiter. Was ich zu der Problematik 
beisteuern würde: Man kann Trasistoren im Schaltvorgang beschleunigen, 
wenn man einen kleinen Hochpass parallel zu den Rs vor dem Gate setzt. 
Hast Du wahrscheinlich aber schon optimiert.

Dann wäre die Frage ob man wirklich PWM machen sollte, oder nicht eine 
selbstlaufende PDM?

von Pandur S. (jetztnicht)


Lesenswert?

Das Gate dermassen zu uebersteuern bringt ausser Problemen bei mehr 
Gatestrom wenig. Was wir bisher noch nicht sahen war ein Layout. Sind 
wir immer noch bei Streifenleiter oder Steckbrett?

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Ge E. schrieb:
> Oszi_Gate.png
>           2,53 MB
Ich frage mich, warum man ein Foto zum PNG umwandelt...
Hier mal die Kurzfassung von Bildformate:
Screenshots: PNG
Fotos: JPEG (dazu zählen auch Fotos von verstaubten Bildschirmen!)

von Achim S. (Gast)


Lesenswert?

Die Ansteuerung dieses einen Gates sieht nicht verkehrt aus.

Notwendig wäre aber immer noch eine Schaltbild und eine klare Aussage, 
zwischen welchen beiden Punkten das Scope angeschlossen war. Außerdem 
eine Aussage dazu, was bei der Messung alles als Last angeschlossen war 
(Filter, Lastkapazität oder völlig offen?)

Innerhalb der Off-Phase erkennt man an einem kleinen 
kapazitiv-gekoppelten Wackler, wann der andere Transistor in der 
Halbbrücke angesteuert wird (ca. 300ns nach der fallenden Flanke). Der 
entsprechende Wackler beim Ausschalten des anderen Transistors fehlt mir 
in der Aufnahme. Eine mögliche Erklärung wäre, dass das Ausschalten des 
anderen Transistors erst erfolgt, wenn dieser hier schon wieder leitet. 
Dann hättest du wider Erwarten doch eine Überschneidung und das 
Aufheizen der FETs wäre erklärt.

Deshalb die neuen Messaufträge ;-)
a) werden beide Transistoren der Halbbrücke gleichermaßen heiß (low und 
highside)? Das würde zu Überschneidung passen.
b) das Gate des High-Side lässt sich evtl nicht so ohne weiteres messen, 
weil es in Richtung der Hochspannung springt. Aber beim Low-Side kannst 
du einfach parallel zu U_GS auch mal U_DS aufzeichnen und uns zeigen.

Ach ja: dass ein integrierter Treiber es nicht schafft, die Transistoren 
im Leerlauf kühl zu halten, glaube ich nicht. Mach lieber mehr Messungen 
am bestehenden System um zu verstehen, woher die Heizleistung kommt, 
statt Aufwand in die Eigenentwicklung eines Treibers zu stecken. Und 
zeig uns mehr von deinem Aufbau: vielleicht springt hier jemandem sofort 
ein Problem ins Auge, dass du seit Tagen übersiehst.

von Achim S. (Gast)


Lesenswert?

Achim S. schrieb:
> Innerhalb der Off-Phase erkennt man an einem kleinen
> kapazitiv-gekoppelten Wackler, wann der andere Transistor in der
> Halbbrücke angesteuert wird (ca. 300ns nach der fallenden Flanke).

Sorry, es sind weniger als 300ns: ich habe erst beim zweite Hinschauen 
erkannt, dass du die Darstellung des Oszis nach dem Anhalten der Messung 
gezoomt hast.

von Eric B. (beric)


Lesenswert?

Jürgen Schuhmacher schrieb:
> Ich habe in
> meinem Sinusartikel gezeigt, wie man das einfacher machen kann

Link?

von Ge E. (re_n)


Lesenswert?

Eric B. schrieb:
> Jürgen Schuhmacher schrieb:
>> Ich habe in
>> meinem Sinusartikel gezeigt, wie man das einfacher machen kann
>
> Link?

Ja der würde mich auch mal interresieren.

Bildformat? Wayne ich arbeite ausschließlich mit png und es wird auch 
direkt als solches gespeichert und nicht erst konvertiert. Aber wenn der 
Herr MOD daruf besteht werde ich mich nat. in Zukunft dran halten, 
zumindest hier im Forum.

von Ge E. (re_n)


Lesenswert?

Achim S. schrieb:
> Die Ansteuerung dieses einen Gates sieht nicht verkehrt aus.
>
> Notwendig wäre aber immer noch eine Schaltbild und eine klare Aussage,
> zwischen welchen beiden Punkten das Scope angeschlossen war. Außerdem
> eine Aussage dazu, was bei der Messung alles als Last angeschlossen war
> (Filter, Lastkapazität oder völlig offen?)
Nur der Filter als Last. Ich will erst diese Probleme beseitigen bevor 
ich admit Anfange die eigentliche Last anzuhängen.

> Innerhalb der Off-Phase erkennt man an einem kleinen
> kapazitiv-gekoppelten Wackler, wann der andere Transistor in der
> Halbbrücke angesteuert wird (ca. 300ns nach der fallenden Flanke).
Zu diesem Zeitpunkt ist der gegenüberliegende MOSi schon längt voll 
durchgesteuert. Dieser Wackler muss also wo anders herkommen. Das Gate 
springt sofort auf hing NACHDEM das andere Gate KOMPLETT auf 0 ist.

> entsprechende Wackler beim Ausschalten des anderen Transistors fehlt mir
> in der Aufnahme. Eine mögliche Erklärung wäre, dass das Ausschalten des
> anderen Transistors erst erfolgt, wenn dieser hier schon wieder leitet.
> Dann hättest du wider Erwarten doch eine Überschneidung und das
> Aufheizen der FETs wäre erklärt.
Erwährmung ist gleichmäßig auf High und Low Side. Ich gehe bisher davon 
aus das die hohe Verlustleistung eine Foge der sehr hohen Frequenz ist 
verbunden mit der hohen Spannung. Aber ganz Sicher wird sich das in den 
nächsten Tagen noch herausstellen.

> Deshalb die neuen Messaufträge ;-)
> a) werden beide Transistoren der Halbbrücke gleichermaßen heiß (low und
> highside)? Das würde zu Überschneidung passen.
> b) das Gate des High-Side lässt sich evtl nicht so ohne weiteres messen,
Doch dafür habe ich extra aktive Differential Tastköfpe (feine Sache das 
;)
> weil es in Richtung der Hochspannung springt. Aber beim Low-Side kannst
> du einfach parallel zu U_GS auch mal U_DS aufzeichnen und uns zeigen.
>
> Ach ja: dass ein integrierter Treiber es nicht schafft, die Transistoren
> im Leerlauf kühl zu halten, glaube ich nicht. Mach lieber mehr Messungen
> am bestehenden System um zu verstehen, woher die Heizleistung kommt,
> statt Aufwand in die Eigenentwicklung eines Treibers zu stecken. Und
> zeig uns mehr von deinem Aufbau: vielleicht springt hier jemandem sofort
> ein Problem ins Auge, dass du seit Tagen übersiehst.

von Ge E. (re_n)


Lesenswert?

Jetzt Nicht schrieb:
> Das Gate dermassen zu uebersteuern bringt ausser Problemen bei mehr
> Gatestrom wenig. Was wir bisher noch nicht sahen war ein Layout. Sind
> wir immer noch bei Streifenleiter oder Steckbrett?

Nope bei diesen Leistungen / Frequenzen arbeite ich direkt mit 
Prototypen. Mit fliegender Verdrahtung würde das Gate Signal sicher 
nicht so sauber aus sehen bei der Frequenz/Impulsstrom

von NaSuper (Gast)


Lesenswert?

Ge E. schrieb:
> Bildformat? Wayne ich arbeite ausschließlich mit png und es wird auch
> direkt als solches gespeichert und nicht erst konvertiert.

Klar, für Bilder png Format.
Und deinen Fussboden putzt du auch mit einer Zahnbürste, oder deine 
Zähne mit nem Wischmob?
Du nimmst ein Rennrad für Waldwege und Querfeldein, egal obs Sinn macht 
oder nicht?

http://www.stupidedia.org/stupi/Wayne
Passt auch.

von Dieter F. (Gast)


Lesenswert?

Ge E. schrieb:
> Ja der würde mich auch mal interresieren.

Dieser hier wird es wohl sein:

Digitale Sinusfunktion

: Bearbeitet durch Moderator
von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Ge E. schrieb:
> Bildformat? Wayne ich arbeite ausschließlich mit png und es wird auch
> direkt als solches gespeichert und nicht erst konvertiert.
Wer nur einen Hammer hat, für den sieht die ganze Welt wie ein Nagel 
aus.

> Aber wenn der Herr MOD daruf besteht werde ich mich nat. in Zukunft
> dran halten, zumindest hier im Forum.
Das ist mir prinzipiell herzlich egal. Die meisten Bilder werden 
inzwischen automatisch verkleinert. Und die 10 Bilder pro Woche, die 
dann noch irrtümlich oder ignorant übrig sind, kann man locker noch per 
Tastendruck verkleinern. Ohne jeglichen Informationsverlust...

von J. S. (engineer) Benutzerseite


Angehängte Dateien:

Lesenswert?

Dieter Frohnapfel schrieb:
> Ge E. schrieb:
>> Ja der würde mich auch mal interresieren.
>
> Dieser hier wird es wohl sein:

Ja und mit Bezug zum Thema wäre auch noch der interessant:
Pulsdichtemodulation

Anbei ein Bild eines Audiosignals mit 20kHz, erzeugt mit einer PDM mit 
GF ca. 400kHz und einfachem Doppel-T Filter. Kommt schon auf besser als 
10Bit.

von Ge E. (re_n)


Lesenswert?

Mal ne kurze Frage Zwischendurch, haltet mich ruhig für bekloppt aber 
ich habe im Netz keine Antwort darauf gefunden ^^

Steigt der vom Treiber zu liefernde Spitzenstrom wenn man die 
Versorgungsspannung an der zu treibenden Halbbrücke erhöht? Als 
Stichwort sei hier veilleicht die Millerkapazität erwähnt. An der 
Versorgung vom Treiber ändert sich nix.

von Stampede (Gast)


Lesenswert?

>Steigt der vom Treiber zu liefernde Spitzenstrom wenn man die
>Versorgungsspannung an der zu treibenden Halbbrücke erhöht?
Nein. Der Spitzenstrom liegt da dann an, wenn der Treiber beginnt an- 
oder abzuschalten. In diesem Moment ist der Strom nur durch den Rgate 
(im Mosfet), ggf. dem externen Gatewiderstand und dem Ausgangswiderstand 
der Treibers bestimmt.
Der Millereffekt vergrößert deinen Cgs virtuell beim Schalten, und macht 
das Ein- und Ausschalten langsamer.
Da du ja offenbar einen SiC Mosfet nimmst sind die Ströme ins Gate auch 
eher überschaubar (1A - 3A Spitze würde ich mal schätzen).

von lrep (Gast)


Lesenswert?

Ge E. schrieb:
> 1,5kW.
>
> Was ich bisher realisiert habe: 4 Kanal PWM

Wenn einem nichts anderes einfällt...

Gerüchteweise ist man mittlerweile aber auch bei ADCs über das Stadium 
der Sägezahnconverter hinaus gekommen.

von Ge E. (re_n)


Lesenswert?

lrep schrieb:
> Ge E. schrieb:
>> 1,5kW.
>>
>> Was ich bisher realisiert habe: 4 Kanal PWM
>
> Wenn einem nichts anderes einfällt...
>
> Gerüchteweise ist man mittlerweile aber auch bei ADCs über das Stadium
> der Sägezahnconverter hinaus gekommen.

Was laberst du für eine gequirllte Scheiße XD Du hast keinen Dunst worum 
es hier geht, aber Danke du zauberst mir ein Lächeln ins Gesicht

: Bearbeitet durch User
von Martin S. (led_martin)


Lesenswert?

@Ge E. (re_n):

Schade, daß Du die, hier reichlich gekommenen, Hinweise auf andere 
Modulationsarten ignorierst. Wenn ich soetwas lese (Selbst nicht 
nachgerechnet):

Falk Brunner schrieb:
> Eon = 57uJ, Eoff = 20uJ.
>
> Macht bei 800 kHz mindestens 61,6W pro MOSFET! (wenn die
> Schaltbedingungen vergleichbar sind)
>
> Prost Mahlzeit!

Dann ist für mich klar, daß man die Anzahl der Schaltvorgänge deutlich 
reduzieren muß.

Frage:
Braucht die Last Massebezug? Wenn nein, könnte man Ausgangsfilter, und 
Last an eine Vollbrücke hängen, da hätte man 3 Spannungs-Zustände +V -V 
und zusätzlich 0. Dazu noch ein Ausgangsfilter höherer Ordnung (Jetzt 
hast Du 2. Ordnung), und man könnte mit einem Viertel der Schaltvorgänge 
auskommen.

Wie 'gut' muß eigentlich der Sinus, an der Last, sein?

Die Leistung der Treiber immer weiter zu erhöhen, bringt irgendwann nur 
mehr Probleme, bei geringem Nutzen.

Mit freundlichen Grüßen - Martin

von al3ko (Gast)


Lesenswert?

Hi,
jetzt bin ich doch mal neugierig geworden.

Martin Schlüter schrieb:
> @Ge E. (re_n):
>
> Schade, daß Du die, hier reichlich gekommenen, Hinweise auf andere
> Modulationsarten ignorierst. Wenn ich soetwas lese (Selbst nicht
> nachgerechnet):

Delta Sigma Modulation ist doch im Prinzip dasselbe wie 
Hysteris-modulation, oder? Der große Nachteil dieser Modulationsart ist 
doch, dass die Frequenz nicht konstant ist, was wiederrum das 
Filterdesign erschwert.

So sehe ich es jedenfalls für die Antriebstechnik und Netzanwendungen 
wie Photovoltaik.

Der Vorteil ist die geringe Komplexität hinsichtlich der Regelung.

Inwiefern seht ihr Vorteile der Delta Sigma Modulation in seiner 
Anwendung?
Martin ist schon ein wenig darauf eingegangen:
Martin Schlüter schrieb:
> @Re Né (re_n):
> PWM. Mit einem guten Ausgangs-Tiefpass kommt man auch
> da auf eine gute Qualität des Ausgangssignals. Die Störungen verteilen
> sich auch auf ein breiteres Band, könnte also auch von der EMV besser
> sein.

Ab welchen Schaltfrequenzen macht Delta Sigma Sinn? Wie gesagt, ich 
kenne mich nur mit Netzanwendungen aus und da sehe ich Hysteresis selten 
bis kaum, weil das Filtern am Ende die große Hürde darstellt bei 
Schaltfrequenzen von ca. 16kHz.

von Ge E. (re_n)


Lesenswert?

Martin Schlüter schrieb:

> Frage:
> Braucht die Last Massebezug? Wenn nein, könnte man Ausgangsfilter, und
> Last an eine Vollbrücke hängen, da hätte man 3 Spannungs-Zustände +V -V
> und zusätzlich 0. Dazu noch ein Ausgangsfilter höherer Ordnung (Jetzt
> hast Du 2. Ordnung), und man könnte mit einem Viertel der Schaltvorgänge
> auskommen.
Ein viertel der Schaltvorgänge klingt erstmal (sehr) interresant, ich 
verstehe nur leider nicht wie du das meinst. Ich benutze ja jetzt schon 
eine Vollbrücke. Die Last braucht keinen Massebezug.
> Wie 'gut' muß eigentlich der Sinus, an der Last, sein?
Muss nicht perfekt sein. Der Ausgang sollte nur rel. niederohmig sein.
> Die Leistung der Treiber immer weiter zu erhöhen, bringt irgendwann nur
> mehr Probleme, bei geringem Nutzen.
Ich habe die Rg´s wieder etwas erhöht, die Gates werden sauber 
geschaltet. Ist aber in Sachen Verlustleistung noch nicht das gelbe vom 
Ei.
> Mit freundlichen Grüßen - Martin

: Bearbeitet durch User
von Ge E. (re_n)


Lesenswert?

Die H-Brücke (manche verwechseln das mit Halb Brücke, gemeint ist aber 
eine Vollbrücke) bewirkt doch nur eine Spannungsverdopplung und das die 
Last symmetrisch aufgehangen ist. Aber eine Reduzierung der 
Schaltvorgänge bringt sie nicht mit sich. Erkläre mir bitte wie du das 
meinst.

von Martin S. (led_martin)


Angehängte Dateien:

Lesenswert?

So, jetzt habe ich endlich Zeit, hier zu schreiben.

@Ge E. (re_n):
Direkt verringert die Vollbrücke die Anzahl der Schaltvorgänge nicht. 
Wenn man die zweite Halbbrücke mit dem invertierten Signal der Ersten 
ansteuert hat man tatsächlich nur die Spannung verdoppelt, und für 
Symmetrie gesorgt. Interessant wird die Sache, wenn man beide 
Halbbrücken getrennt ansteuert, dann bekommt man einen dritten Zustand, 
beide Halbbrücken auf GND oder Beide auf VCC ergibt 0V am Filtereingang. 
Dann kann man solche Signale, wie in der schnellen Handskizze angedeutet 
(Ja, ist etas krumm geraten) erzeugen. U1 ist die erste Halbbrücke, U2 
die Zweite. So ein Signal, wie bei a) ist einem Sinus schon deutlich 
näher, als ein Rechteck. Mit einem guten Ausgangsfilter sollte man da 
dem Sinus schon ausreichend nahe kommen. Da hat man dann 4 
Schaltvorgänge pro Periode (2 pro Halbbrücke). Bei 800kHz PWM und 150kHz 
Signal sind es schon mehr als 10 pro Halbbrücke. Das macht man dann z.B. 
bis zu 120kHz Signal runter, bei kleineren Signalfrequenzen macht man es 
wie bei b), und verfeinert das zu kleineren Frequenzen weiter. In diesem 
Fall wird dem Filter die Arbeit deutlich leichter gemacht, da die ganze 
Schalterei nur bei der halben Amplitude gemacht wird.

@al3ko (Gast):
Es gibt natürlich nicht die beste Modulationsart, da muß man je nach 
Anwendung und verfügbaren Komponenten entscheiden. Bei 
Schrittmotor-Endstufen ist Hysteris-Modulation recht verbreitet. Aber 
auch Modulationsarten mit fester ON- und variabler OFF-Zeit, oder 
umgekehrt, sind in der Leistungselekrtonik (Schaltregler) sehr 
verbreitet. Ob es für's Filterdesign gut ist, richtet sich danach, was 
man erreichen will. Geht es um die Energie innerhalb eines bestimmten 
Frequenzbandes, bringt die sich ständig ändernde Frequenz keinen 
Vorteil, geht es aber um Maximalamplituden im Spektrum, bringt es was, 
die Energie auf ein Frequenzband zu verteilen (Manche Prozessoren 
wobbeln ihren Systemtakt um ein paar %, damit es keine so ausgeprägten 
Peaks im Spektrum gibt). Durch die, ohnehin nötige, Festlegung von 
minimalen ON- oder OFF-Zeiten ist die Frequenz nach oben hin limitiert. 
Auch im akustischen Bereich kann eine Modulation abseits der PWM gut 
sein, eine Motoransteuerung die rauscht (Delta-Sigma-Modulation) ist 
weniger unangenehm als eine die pfeift (PWM).

Mit freundlichen Grüßen - Martin

von Hans W. (Firma: Wilhelm.Consulting) (hans-)


Lesenswert?

hmmm klingt fast nach einem 61000-4-16/-4-19 verstärker... naja fast 
zumindest...

was hast du für klirrfaktor anforderungen... amplituden/phasengang 
egal??? (regelschleife um ein schmalbandiges signal oder einfach nur 
breitbandig verstärken?)

möglicherweise reichts dir ja schon mit 150kHz zwischen 2 
versorgungsspannungen für einen class B verstärker hin- und 
herzuschalten damit die verluste erträglich werden...

bei der leistung und variabler frequenz halte ich rein digital für 
aktuell nicht möglich... ein hybrides konzept dagegen schon... wobei da 
eben die oben genannte parameter reinspielen...


73

von J. S. (engineer) Benutzerseite


Lesenswert?

al3ko schrieb:
> Delta Sigma Modulation ...
> Der große Nachteil dieser Modulationsart ist
> doch, dass die Frequenz nicht konstant ist, was wiederrum das
> Filterdesign erschwert.

Nun ja, es gibt ja eine untere Grenzfrequenz und die entspricht im 
Groben der, die man mit einer equivalenten PWM hinbekommt würde. Von 
daher ist der Filter entsprechen darauf zu dimensionieren und man nimmt 
die in der Regel geringeren Verzerrungen dankend mit.

von jens (Gast)


Lesenswert?

Hast du schon mal ausgerechnet was für ein Strom in dem 330nF 
Kondensator vom LC-Filter fließt? Bei 150kHz und 250Veff komm ich da auf 
78Aeff !

von Ge E. (re_n)


Lesenswert?

Hans Wilhelm schrieb:
> hmmm klingt fast nach einem 61000-4-16/-4-19 verstärker... naja fast
> zumindest...
sagt mir nix
> was hast du für klirrfaktor anforderungen... amplituden/phasengang
> egal??? (regelschleife um ein schmalbandiges signal oder einfach nur
> breitbandig verstärken?)
Klirrfaktor ziehmlich Wurst. Amplitide sollte von 20kHz-120kHz 
einigermaßen (+/-10%) Konstant sein über besagtem Frqeuenzbereich.
> möglicherweise reichts dir ja schon mit 150kHz zwischen 2
> versorgungsspannungen für einen class B verstärker hin- und
> herzuschalten damit die verluste erträglich werden...
lass mich drüber nachdenken
> bei der leistung und variabler frequenz halte ich rein digital für
> aktuell nicht möglich... ein hybrides konzept dagegen schon... wobei da
> eben die oben genannte parameter reinspielen...
das sehe ich ähnlich / hart an der Grenze des realisierbaren, vielleicht 
sogar schon darüber

MFG

von Ge E. (re_n)


Lesenswert?

jens schrieb:
> Hast du schon mal ausgerechnet was für ein Strom in dem 330nF
> Kondensator vom LC-Filter fließt? Bei 150kHz und 250Veff komm ich da auf
> 78Aeff !
Das mit der Spule ist noch so ein Thema. Der Kondi (MP-Typ) macht das 
mit, allerdings wird die Spule schon bei 20kHz (Ausgangsfrequenz) schon 
sehr heiß. Ich suche gerade ein passenderes Kernmaterial. Von der 
Theorie her sollte die Permeabilität ja möglichst gering sein und ich 
sollte eher mehr Windungen statt einen größeren AL Wert bevorzugen, 
richtig?

von Ge E. (re_n)


Lesenswert?

Martin Schlüter schrieb:
> So, jetzt habe ich endlich Zeit, hier zu schreiben.
Danke ich werde mal darüber nachdenken. Könnte das ja rein 
softwareteschnich ändern da ich ja jetzt schon jeden einzelnen MOSFET 
mit unabhängigen PWM Ausgängen ansteuere.

von jens (Gast)


Lesenswert?

>> Hast du schon mal ausgerechnet was für ein Strom in dem 330nF
>> Kondensator vom LC-Filter fließt? Bei 150kHz und 250Veff komm
>> ich da auf 78Aeff !

>Das mit der Spule ist noch so ein Thema. Der Kondi (MP-Typ) macht das
>mit, allerdings wird die Spule schon bei 20kHz (Ausgangsfrequenz) schon
>sehr heiß. Ich suche gerade ein passenderes Kernmaterial.

Wenn die Schaltung so ist wie ich denke fließt der Strom auch noch durch 
die SiC Mosfet. Bei 280mOhm RDSon ist das sicher ein Problem.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.