Forum: FPGA, VHDL & Co. VHDL: obsolete Signale automatisch entfernen


von max (Gast)


Lesenswert?

Hallo zusammen,

mein Problem kennt Ihr sicher alle: man schreibt VHDL Code und während 
der Entwicklung gibt es einige Signal-Definitionen, die danach nicht 
mehr verwendet werden. Gibt es Tools um den Source-Code automatisch zu 
bereinigen in dem diese Signale gelöscht werden?

Viele Grüße
Max

von Olga (Gast)


Lesenswert?

Sigasi kann das auf jeden Fall (und einiges mehr).
http://www.sigasi.com

Vide V³S kann das glaube ich auch (bin mir gerade nicht sicher). 
http://www.vide-software.at

von C-Programmer (Gast)


Lesenswert?

Olga schrieb:
> Vide V³S kann das glaube ich auch (bin mir gerade nicht sicher).
> http://www.vide-software.at

Ist das ein freier Plugin? Für Vidsual Studio????

Ich mache erst kurz VHDL, aber passt das zusammen?

Ich nehme immer Modelsim, da sind die unbenutzten Signale undefiniert

von Harald (Gast)


Lesenswert?

sigasi kann ich nur empfehlen. Meiner Meinung nach die Lizenzkosten in 
jedem Fall wert.
Es erkennt auch wenn Signale nur geschrieben oder nur gelesen werden. 
Leider gibt es (noch) keine Kollisionserkennung. Aber das kommt sicher 
noch.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.