Forum: FPGA, VHDL & Co. implementierung mit hilfe digitalFilter


von cantona (Gast)


Lesenswert?

hallöchen und servos....,

  um einige digitalfilter(FIR-Filter) auf verschiedener Arten zu
implementieren   was soll ich denn machen?

  cantona

von Michael (Gast)


Lesenswert?

1. Vielleicht solltest Du dich zuerst mit dem Thema FPGA und VHDL
auseinandersetzen.

2. Ein FPGA-Board besorgen und Tutorials lesen

3. Mit schnell ist da überhaupt nichts --> sondern da steckt viel
Arbeit und vor allem Zeit drin

4. Wenn Du einen digitalen Filter realisieren möchtest, dann braucht
man ein digitales Eingangssignal --> somit benötigts Du einen
AD-Wandler

5. Damit Du auch sehen kannst ob der Filter funktioniert, brauchst Du
auch einen DA-Wanler. Somit hast Du die Möglichkeit das Signal nach der
Filterung anzuschauen, oder Du sendest die digitalen Daten über die
RS232-Schnittstelle und schreibst ein kleines Labviewprogramm.

6. Bitte poste dann den Quellcode Deines digitalen Filters in diesem
Forum, damit andere, die auch mal einen Filter umsetzen müssen, schon
mal einen Ansatz haben.

Grüsse

Michael

von cantona (Gast)


Lesenswert?

hallo michael, ich bedanke mich sehr...

 ich versuche die schritte, die du mir genannt hast zu folgen....

  und was hast du gemeint mit (das braucht zeit???) wie lange
ungefähr?

  brauche ich eine grosse Kenntnisse von VHDL?

 danke noch mal


 cantona.

von Unbekannter (Gast)


Lesenswert?

Nein das geht auch alles wenn man überhaupt keine Ahnung von VHDL,
programmierbarer Logik, digitaler Signalverarbeitung und Systemtheorie
hat.
Man setzt sich dabei nur vor den FPGA ruft 3mal HexHexHex und dann
weiss der FPGA was er zu tun hat. Xilinx hat in den neusten Modellen
nämlich das Braininterface implementiert.

von TheMason (Gast)


Lesenswert?

Hallo cantona,

also einfach ists bestimmt nicht, vor allem wenn man keine ahnung von
vhdl hat. man sollte sich erst die VHDL-Grundlagen aneignen, dann
versuchen "parallel" zu denken, quellcode-schreiben, simulieren, fpga
aussuchen (wenn nicht von vonherein ein evaluation board zum
experimentieren hat) und dann implementieren.
ich selbst bin mit einem audio-projekt dran und benutze aus gründen der
"einfachheit" einen iir-filter. und der läuft auch noch nicht
100%-ig.
ein bischen hilfe hat man wenn man die core-gens von xilinx nutzt. die
nehmen einem zwar arbeit ab, aber man sollte schon verstehen was
dahinter steckt, damit man bei "kleinen" änderungen nicht sofort auf
der nase liegt weil man das prinzip nicht verstanden hat.
also lösungen aus der "schublade" zu ziehen funktioniert hier nicht
wirklich.

gruß
rene

von T.M. (Gast)


Lesenswert?


von Michael (Gast)


Lesenswert?

Für die Umsetzung eines digitalen Filters, benötigt man sehr viele
Multiplikationen und Additionen. Ein FPGA hat den Vorteil, das diese
parralel ausgeführt werden können. Jedoch ist es deutlich schwieriger
als mit einem DSP. Dort schreibt man die Funktion in C oder Assembler
und implementiert diese dann. Der Vorteil ist man muss nicht auf das
Timing achten. Wenn Du jedoch Wert auf große Geschwindigkeit legst,
dann  kannst Du auf einen FPGA zurückgreifen. Diese besitzen spezielle
Multiplizierer, die auf die Multiplikation ausgelegt sind. Die Firma
Xilinx bietet momentan den FPGA-Typ Virtex 4 an in verschiedenen
Ausführungen an. Einige davon eignen sich besonders für DSP-Operationen
(viele Multiplizierer).Somit kannst Du bestimmt 20 oder mehr
Multiplikationen parallel ausführen.

Bis das aber läuft sind einige Monate Arbeit notwendig, vor allem wenn
man sehr wenig Grundkenntnisse besitzt.

Grüsse

Michael

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.