Forum: FPGA, VHDL & Co. embedded Prozessoren: Picoblaze und Co.


von Markus (Gast)


Lesenswert?

Hallo zusammen,

ich würde mich gern mal etwas in das Thema embedded Prozessoren in
FPGAs einarbeiten. Da ich schon mit Xilinx FPGAs gearbeitet habe, würde
ich mich daher gern mit dem Picoblaze, Microblaze und/oder PowerPC etwas
näher beschäftigen.

Kann jmd. mir einige Tipps geben, wie man am besten an das Thema
rangeht ? Gibt es ausser den Xilinx Appnotes noch einige gute Tutorials
für dieses Thema ? Vorallem würden mich aber einige Projekte
interessieren, in denen mit diesen Prozessoren gearbeitet wird und wo
man das ganze mal "live" sehen kann ? Ich bin also über jede Art von
Info - seien es Links, Codebeispiele, Projekte etc. - dankbar.

Ich hoffe, ich bekomme einige brauchbare Infos. Danke !!!!!!!!!

Gruß Markus

von TOM (Gast)


Lesenswert?

Hallo Markus,

ich habe in meiner Dipl-Arbeit mit einem Microblaze auf einem
Virtex-II-MB1000 gearbeitet. Werde mal schauen, ob ich noch bisschen
Doku finde.

Gruß

Thomas

von breti (Gast)


Lesenswert?

Hi,

ich habe gerade dieses Tutorial für den Microblaze Prozessor gemacht.
Ob es ähnlich einfach für den Microblaze geht, weiß ich nicht.

http://www.eti.pg.gda.pl/katedry/ksmi/pracownicy/Marek.Wojcikowski/lab_prog/msp/protected/lab1.htm?styl=druk

Gruß,
       Thomas

von breti (Gast)


Lesenswert?

Ach ja,
hab noch was vergessen: dieses lab geht bis lab4. musst du dann am ende
der url selber ersetzen.

Ansonsten habe ich gerade das hier gefunde:
http://direct.xilinx.com/direct/ise7_tutorials/EDK7.1_Spartan3.pdf

Ist im Prinzip das Gleiche, scheint aber noch etwas mehr Inhalt zu
haben. Ist ausserdem eine schönes PDF :)

Gruß,
       Thomas

von Oliver L. (emperor)


Lesenswert?

hi
Unterlagen zu diesem Thema würden mich auch Interessieren.
Ich mach im Moment ein Praxissemster bei Siemens und arbeite mit dem
Ml403 (Virtex4) von Xilinx.
Ich hab in Vhdl bereits ein PCM-Modul mit 30 Timeslots geschrieben und
auch schon eigebunden. Das einbinden von IP Cores durch editieren der
userlogic.vhd und "Modul".vhd fand ich ziemlich umständlich und nicht
besonders schön, hat aber geklappt.Ist das erste mal das hier ein
Projekt mit dem Microblaze und dem EDK gemacht wird. Die Unterstützung
für spezielle Fragen zu diesen Themen ist deshalb quasi nicht
vorhanden.
Finde die vorhandenen Dokus Teils nicht besonders gut oder nicht
ausführlich genug.
Ich muss dazu sagen das ich auf diesem Gebiet vorher noch nicht viel
gemacht habe ( im Studium mit nem 8051 rumgespielt ;-) ). Das macht die
Sache gleich mit so einem Prokjekt anzufangen nicht leichter.
Falls also noch jemand Unterlagen hat wäre ich auch daran
interessiert.

Bin grad dabei zu vertstehen wie Interrupts erzeugt und verarbeitet
werden.Wenn jemand speziell dazu ein paar Tips hat wäre ich dankbar.

@Markus
http://www.xilinx.com/xlnx/xebiz/designResources/ip_product_details.jsp?iLanguageID=1&key=micro_blaze&com.broadvision.session.new=Yes&BV_SessionID=@@@@1192487287.1133271649@@@@&BV_EngineID=ccccaddgfkdefgkcefeceihdffhdfkf.0
falls du das noch nicht gefunden hast.da findest du einige sachen zum
microblaze


Emp

von Oliver L. (emperor)


Lesenswert?

Hallo
Ich hab eine Frage und stell die einfach mal hier weil es ja zu Thema
passt:
Also die Interruptgeschichte hab ich soweit verstanden und mal ein paar
einfache ISR´s geschrieben und mit Timern,Buttons usw. getestet.
Ich hab mal ein Bild hochgeladen welches meinem Projekt entspricht.
Die PCM Module hab ich selber geschrieben und an der OPB_Bus
angebunden.
Wie kann ich nun auf mein Modul über ein C-Programm zugreifen?
Bei den Buttons,LED´s usw. läuft ja alles über das BSP und die
enthaltenden Funktionen.
Mein Modul enthält ein paar Register und einen Adressdecoder der
festlegt wohin die Daten geschrieben werden sollen. d.h. wenn 101 dann
die Daten vom OPB ins Receiveregister usw.
Wie geh ich am besten vor?
Würde mich über Hilfe,Links oder ein kleines Beispiel freuen.

bis dahin
Emp

von Oliver L. (emperor)


Angehängte Dateien:

Lesenswert?

ups das Bildchen :-)

von breti (Gast)


Lesenswert?

Hi,

ich gehe mal davon aus, dass du deine Module mit dem Wizard über IPIF
and den OPB gehängt hast. In diesem Fall gibt dir der Wizard die Option
automatisch ein paar demo Treiber zu generieren. Mit diesen kannst du
dann bereits auf deine Register zugreifen.
Wenn sie generiert wurden, so liegen sie im Verzeichnis
%edk%/drivers/modulname/src

Ansonsten kannst du auch in das "Embedded System Tools Reference
Manual" reinschauen. Dort ist der Wizard ebenfalls beschrieben. Hier
der Link:
http://www.xilinx.com/ise/embedded/est_rm.pdf

Gruß,
       Thomas

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.