Forum: FPGA, VHDL & Co. Xilinx PACE GCK_Pin Zuweisung


von Daniel (Gast)


Lesenswert?

Hallo zusammen,

habe mittlerweile die gesamte Xilinx Answer Database durch und finde
nicht was ich suche. Vielleicht könnt ihr mir ja helfen:
Ich habe in meinem Design zwei Global Clocks. (Spartan2)
Wie kann ich diese mittels dem grafischen Tool PACE zuweisen?
Weiterhin habe ich 2 unbenutzte GCK's, welche ich als einfache Inputs
verwenden will. Auch hier läßt die Oberfläche PACE es nicht zu, diese
auf Eingänge zuzuweisen. In der Package Übersicht (rechts) sind diese
Pins auch nur als GCK's deklariert obwohl sie lt. Datenblatt als
Inputs genutzt werden können. Wo kann ich diese Einstellungen machen?
Hoffe es kann mir wer weiterhelfen.
Ober hat jemand einen Link?

Danke Daniel

von Daniel (Gast)


Lesenswert?

Alles klar - Servicepack und alles läuft.

Manchmal verfluche ich Xilinx!

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.