Forum: FPGA, VHDL & Co. Suche nach IEEE.FIXED_PKG für VHDL


von Michael G. (m1tch3l)


Lesenswert?

Hallo zusammen,
ich bin auf der Suche nach der IEEE library FIXED_PKG für FixedPoint 
Zahlen. Ich habe schon auf vielen Seiten gegoogelt und auch hier, aber 
es wird immer nur diese Seite erwähnt: http://vhdl.org/fphdl und diese 
existiert leider nicht.

Kann mir jemand die library zukommen lassen?
Ich würde mich sehr freuen.

Liebe Grüße
Michael

von Lattice User (Gast)


Lesenswert?

Gehört zu VHDL 2008.
Wird das von deiner Toolchain unterstützt?

von Michael G. (m1tch3l)


Lesenswert?

Lattice User schrieb:
> Gehört zu VHDL 2008.
> Wird das von deiner Toolchain unterstützt?

Ich nutze Quartus 14.0 von Altera. Habe bis jetzt aber noch nicht 
gefunden, wie ich Fixed-Point anders nutzen kann.

von user (Gast)


Lesenswert?


von Michael G. (m1tch3l)


Lesenswert?

user schrieb:
> Schaust du hier
> https://web.archive.org/web/20160305202256/http://...

Danke für deine Antwort, jedoch ist das benötigte zip-File von Altera 
ebenfalls nicht erreichbar.

Hat jemand diese Datei noch auf dem PC und könnte mir diese zuschicken?
In dem Fixed-Point User's Guide wird von folgenden Dateien gesprochen 
die mir fehlen:
fixed_float_types.vhdl
fixed_generic_pkg.vhdl
fixed_generic_pkg-body.vhdl
fixed_pkg.vhdl

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Michael G. schrieb:
> ist das benötigte zip-File von Altera ebenfalls nicht erreichbar.
Das würde mir zu denken geben...
Wofür brauchst du etwas, das man nur unter schwierigen Umwegen 
beschaffen kann? Was willst du mit der Festpunktarithmetik machen?

von Michael G. (m1tch3l)


Lesenswert?

Lothar M. schrieb:
> Michael G. schrieb:
>> ist das benötigte zip-File von Altera ebenfalls nicht erreichbar.
> Das würde mir zu denken geben...
> Wofür brauchst du etwas, das man nur unter schwierigen Umwegen
> beschaffen kann? Was willst du mit der Festpunktarithmetik machen?

Es scheint als wäre die Seite erst seit kurzem nicht erreichbar.
Zu dieser Library gibt es viele Beispiele im Internet, auch Probleme mit 
Lösungen, deswegen möchte ich auch gerne diese haben.

Ich erhalte Werte von -1 bis +1 und möchte diese mit anderen Werten 
multiplizieren. Das Problem liegt hierbei nun, dass Werte kleiner 1 
immer kleiner werden und nicht größer, wie es bei Werten größer 1 der 
Fall ist. Haben Sie zufällig diese Library?

Viele Grüße
Michael

von Hosenmatz (Gast)


Lesenswert?

Und ieee_proposed geht nicht für Deine Zwecke?

Mich würde mal nebenbei interessieren, warum die unter dem oben 
genannten Links genannten libs nicht mit Alterar gehen. Was passiert 
denn da?

von Michael G. (m1tch3l)


Lesenswert?

Hosenmatz schrieb:
> Und ieee_proposed geht nicht für Deine Zwecke?
>
> Mich würde mal nebenbei interessieren, warum die unter dem oben
> genannten Links genannten libs nicht mit Alterar gehen. Was passiert
> denn da?


Die IEEE_PROPOSED würde auch gehen.
Bei mir erkennt Altera die libs nicht beim einbinden.

von Lattice User (Gast)


Lesenswert?

Und warum aktivierst du nicht einfach VHDL 2008?

von Michael G. (m1tch3l)


Lesenswert?

Lattice User schrieb:
> Und warum aktivierst du nicht einfach VHDL 2008?

Ich habe nun VHDL 2008 unter Assignments -> Settings -> Analysis & 
Synthesis Settings aktiviert.

Wie kann ich dann nun die Fixed-Point Arithmetik verwenden?

Viele Grüße
Michael

von Lothar M. (Firma: Titel) (lkmiller) (Moderator) Benutzerseite


Lesenswert?

Michael G. schrieb:
> Ich erhalte Werte von -1 bis +1
Letztlich ist das sicher ein Vektor, weil jede Zahl, die im FPGA 
dargestellt werden kann, auf einem Vektor basiert. Wie breit ist also 
dein Vektor?

> Ich erhalte Werte von -1 bis +1
In welchem Format kommen diese Werte?

Letzlich ist eine Festpunktdarstellung keine Raketentechnik und nichts, 
was man nicht auch selber im Kopf lösen könnte: wenn da 8 
Nachkommastellen nötig sind, dann nehme ich einen ganz normalen Integer 
und teile mir den im Kopf durch 256. Dann sieht der Vektor genau gleich 
aus...

Ansonsten probiers einfach mal dort:
http://andyrushton.co.uk/vhdl-fixed-and-floating-point-packages/
Evtl. ist da was dabei... ;-)

: Bearbeitet durch Moderator
von Weltbester FPGA-Pongo (Gast)


Lesenswert?

Damit muss man aber im Kopf die Stellen mitschleppen, was die Lesbarkeit 
wieder verschlechtert. Hat alles seine Vor und Nachteile. Ich mache es 
aber oft auch so, daß die Stellen einfach mit einem zusätzlichen 
Vektorschwanz von 8 bit oder so versehen werden, damit der Shift 
eindeutig ist.

von Michael G. (m1tch3l)


Lesenswert?

Lothar M. schrieb:
>
> Ansonsten probiers einfach mal dort:
> http://andyrushton.co.uk/vhdl-fixed-and-floating-p...
> Evtl. ist da was dabei... ;-)

Vielen Dank :-) Das sind die Dateien, die ich gesucht habe

von Markus F. (mfro)


Lesenswert?

Das "offizielle" fixed package gibt's direkt bei den Gralshütern:

http://standards.ieee.org/downloads/1076/1076-2008/1076-2008_machine-readable.zip

von Lattice User (Gast)


Lesenswert?

Michael G. schrieb:

>
> Ich habe nun VHDL 2008 unter Assignments -> Settings -> Analysis &
> Synthesis Settings aktiviert.
>
> Wie kann ich dann nun die Fixed-Point Arithmetik verwenden?
>

Damit sollten die gesuchten packages vorhanden sein.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.