Forum: FPGA, VHDL & Co. einfache VHDL-Datei um Daten in Register zu speichern und wieder auslesen


von KM45 (Gast)


Lesenswert?

Hallo,
ich bin Anfänger und bin auf der Suche nach einer möglichst einfachen 
Anleitung wie ich mit Quartus II (unter Verwendung von VHDL) Daten 
einfach abspeichern kann und diese wieder auslesen kann.

Vielleicht kennt jemand ein gutes Tutorial (...z.B. auf Youtube...)
so es wirklich eine gute Anleitung gibt (incl. Pin-Belegung etc.)

Es handelt sich um das Altera Board DE1.

Vielen Dank

von Michael W. (Gast)


Lesenswert?

WOMIT sollen Daten von welcher QUELLE gelesen werden?

Ich vermute ADC Daten über Seriell?

Oder sollen die FPGA-Config-Daten gelesen werden?

Oder wollen wir partiell reconfigurieren?

Bitte mal Problem beschreiben?

von KM45 (Gast)


Lesenswert?

Es sollen Daten, welche z.B. über die Switches des Boards eingegeben 
werden durch anschließendes drücken eines Keys abgespeichert werden.

von Gustl B. (-gb-)


Lesenswert?

Und wo sollen die gespeichert werden? Im FPGA? In einem Flash Baustein 
am FPGA in externem RAM? Es gibt da halt escht viele Möglichkeiten.

von KM45 (Gast)


Lesenswert?

im FPGA (M4K-Block)

von A. F. (chefdesigner)


Lesenswert?

1
if rising_edge(system_clock) then
2
   register_switch01 <= INPUT_SWITCH1;
3
   register_switch02 <= INPUT_SWITCH2;
4
   ---
5
   register_switch16 <= INPUT_SWITCH16;
6
end if;

: Bearbeitet durch User
von KM45 (Gast)


Lesenswert?

ok, vielen Dank.
Aber wie definiere ich die Entity?
Es gibt ja anscheinend hier keine Pin-Zuordnung, da es intern im FPGA
gespeichert wird?
Sorry ich bin nunmal absoluter Anfänger.

von A. F. (chefdesigner)


Lesenswert?

Es wäre unfair, Dir jetzt eine Antwort zu geben, obwohl es mich in den 
Fingern juckt und mir spontan 7 mögliche Antworten einfallen :D

Ich mache es mal politisch korrekt: Bitte lerne erst einmal die basics. 
Dein Wissen besteht momentan zu 99% aus Lücke.

Dafür gibt es Vorlesungen oder Bücher.

von Gustl B. (-gb-)


Lesenswert?

Auch ich empfehle erstmal Tutorials durchzumachen. Altera oder Terasic 
bieten da bestimmt was an. Oder guck in die Quellen von deren 
Beispielprojekten.

Im Grunde braucht man Pinzuordnungen nur wenn man Dinge außerhalb des 
FPGAs verwendet, also Du bei den Schaltern und der Taste. Im FPGA 
verwendet das Syntgesewerkzeug automagisch die passenden Komponenten. 
Also fast meistens. Wenn man also ein Signal beschreibt das speichert, 
ein paar Flipflops, dann muss man nicht angeben welche der vielen FFs im 
FPGA verwendet werden sollen. Beim BRAM und vielen anderen Dingen auch 
nicht.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.