Forum: FPGA, VHDL & Co. ISE 7.1i ->Schematic ->Komisches UND-Verhalten + beim Counte


von Roman (Gast)


Lesenswert?

Hi!
Ich beschäftige mich gerade mit dem XC9536, dass ich auf einem
Steckbrett (mit Adapter) aufgebaut habe.

Nach schlechten Ergebnissen, weil immer irgendwas passierte, aber nicht
das Gewollte, hatte ich einfach mal ein

einfaches "AND" in der Schematic an die Ausgänge legen wollen. Auch
dieses verhält sich nicht wie erwartet.
Wenn ich Schalter1 und Schalter2 schließe geht die LED aus.
Oder anders: 1^1 = 0 ???

Die LED ist an bei H-Pegel, darauf habe ich geachtet und auch die
Schalter ("Mäuseklavier") haben H-Pegel bei

Ein-Stellung.

Hier die Schaltung:
http://www.uploadagent.de/index.php?s_action=show&id=27684&code=1139790050

---
Ein Versuch mit einer Blinkschaltung ergibt ähnliches. Mit dem Schalter
kann der Takt auf die eine oder andere LED

geschaltet werden. Die andere LED ist dann aber nicht aus sondern
konstant ein???
Hier die Schaltung:
http://www.uploadagent.de/index.php?s_action=show&id=27687&code=1139790248
---

---------------------------

Der Versuch einen 4-Bit Counter zu verwenden schlug ganz fehl, hier
konnte kein Assignment gemacht werden, weil

einfach irgendwelche Anschlussnamen im Assignment-Editor angezeigt
wurden, wie z.B. Q, anstatt Q0... Q3, so dass

eine Zuordnung unmöglich war (wieso werden nicht die in der Schematic
eingegebenen IO-Namen angezeigt?!)
Hier der Zähler:
http://www.uploadagent.de/index.php?s_action=show&id=27685&code=1139790149
Hier die Falschen Namen für Pin-Assignment:

http://www.uploadagent.de/index.php?s_action=show&id=27686&code=1139790194

---------------------------

Was mache ich falsch? Ist Version 7.1 buggy?
Sind die Zähler buggy und ich sollte irgendein VHDL-Modell für nen
Zähler nehmen?

Roman

von Volker (Gast)


Lesenswert?

Hallo Roman,

damit 7.1i mit CPLDs funktioniert, müssen unbedingt die Service-Packs
geladen werden.

siehe auch
http://www.mikrocontroller.net/forum/read-9-271893.html#new

Gruß
Volker

von A. N. (netbandit)


Lesenswert?

@Roman:

ich würde dir eh das 8.1i empfehlen. Dieses ist Grafisch etwas besser
gestaltet und ermöglicht eine kürzere Einarbeitungszeit. So habe
zumindest ich das Gefühl :)

von Michael Günther (Gast)


Lesenswert?

In meiner CPLD-Schaltung (auch mit XC9572XL) hatte ich das aelbe
Problem. Habe dann die Schaltung soweit runtergebrochen, daß ein
Eingang des PLD's direkt mit einem Ausgang verschaltet war.

Ergebnis: Low am Eingang ergab High am Ausgang. Umgekehrt genau das
selbe. Ich dachte, der CPLD sei im Arsch, aber der Download arbeitete
einwandfrei und es waren keine sonderbaren Pins an ihm. Spannung war
OK.

Mal sehen, ob die Service-Packs was bringen.
Bei der 7.1i kommt es ab und zu vor, daß keine Checkhäckchen nach
erfolgreicher Aktion im Objektmanager kommen, sondern die Fragezeichen
bleiben. Naja, mal sehn, was das Update bringt...

Grüße,
MG.

von Roman (Gast)


Lesenswert?

Okay, danke für die Hilfe.
Hatte gestern im InternetCaffee die neueste Version runtergeladen
(leider wollte der Browser das SevicePack nicht runterladen). Es läuft
zwar wegen den fehlenden ServicePacks noch nicht ganz so gut (Counter
geht immer noch nicht) aber das "Und-Gatter" macht endlich was es
soll.

Das ServicePack hol ich mir später, wenn ich wieder in meiner "Bude"
bin, wo  ich DSL habe.

CU - Roman

von Michael Günther (Gast)


Lesenswert?

Hallo zusammen,

Mit dem Service-Pack 4 geht jetzt alles. Die Install ging problemlos.
Man sollte jedoch alle Xilinx-Apps zu haben, da diverse Dateien
ausgetauscht werden.

Habe mal die 8.1i getestet. Sieht recht gut aus. Was jedoch immer mit
Fehler 222 abbrach (WinXP), war der ISE-Simulator. Muß mal sehen, woran
das liegt.

Gruß,
MG.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.