Forum: FPGA, VHDL & Co. Register


von Thomas Petrulat (Gast)


Lesenswert?

Wie realisiert man in VHDl ein Datenregister in einem FPGA zum Speichern
von Werten ?

Ein konkretes Beispiel: In einem FPGA soll ein PWM-SIgnal generiert
werden. Ein Register in FPGA soll über einen Mikrocontroller
angesprochen werden und ein Wert (z.B. die Periodendauer) in das
Register geschrieben werden.

Wie realisiert man ein solches "Register" ?

Danke

von Alban (Gast)


Lesenswert?

Die erste Frage ist, wie bekommt man die Daten von der MCU zum FPGA.

Prinzipiell erst mal über den Datenbus. In Abhängigkeit wieviele
solcher Register implementiert werden sollen, müssen dann entsprechend
die Adressleitungen an den FPGA geführt werden. Das gewünschte Register
wird jetzt in den Speicherbereich der MCU gemappt.

In Logik wird dann eine Auswertung durchgeführt. Wenn z.B. ein
Schreibzugriff auf Adresse XY erfolgt, wird der Wert des Datenbusses in
das Register geschrieben. Umgekehrt, wird die Adresse gelesen, wird der
wert des Registers auf den Datenbus gegeben.

Das Register würde ich mit signal std_logic_vector() erzeugen.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.