Forum: FPGA, VHDL & Co. WebPack 8.1 / wo ist diese Schematic Ansicht hin ?


von Ssss S. (sssssss)


Lesenswert?

Hi!

Beim Xilinx WebPack 7 konnte man eine beliebige vhdl Datei nehmen und
unten bei den Build Sachen auf einen Eintrag wählen der dann aus dem
vhdl so einen Schaltplan generierte (weiss grad nicht mehr wie das
hieß).
In diesen Schaltplan konnte man auch reinzoomen und sich somit
angucken
was genau der Compiler generiert hat.

Gibts das beim 8er Webpack nicht mehr ?
Oder bin ich blind ?

Gruss,
Simon

von Ssss S. (sssssss)


Lesenswert?

Habs doch noch gefunden...
Vielleicht interessiert es den einen oder anderen:
Das ganze geht nur noch beim "Top Module"

Also rechtsklick "Set as Top Module"
und dann in dem Build Fenster "View RTL Schematic"
Schade, beim alten Webpack ging das direkt per klick für jedes File
einzeln :-\

Bye, Simon

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.