Forum: FPGA, VHDL & Co. BRAM in Quartus mit file initialisieren


von Marco Heidenreich (Gast)


Lesenswert?

Ich habe einige identische block RAM, derselben Größe als eine Component
deklariert (MegaWiz) und nutze sie mit mehreren Instanzen zu
verschiedenen Zwecken. Je nach Zweck soll die betreffende Instannz mit
einem anderen File initialisiert werden. Die MIFs habe ich schon, aber
ich kann sie nicht den instanzen zuordnen. Die Q-Hilfe lässt darauf
schließen, daß dies nur componentenweise geschehen kann. Ich habe auch
gesehen, daß dann, wenn der Wizzard läuft, temporäre files geschrieben
werden ("cnx"..) in denen IN DER KOPONENTENDEKLARATION ein filename
angegeben wird, der dem entspricht, was ich im Wizzard als Initialfile
eintrage. Die sind allerdings später weg und weder in der Komponente
noch in der Beispielinstanz des Wizzards findet sich ein Verweis auf
ein file.

???????????????????????

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.