Forum: FPGA, VHDL & Co. Anzahl Zeilen einer "ModelSim XE III Starter" Simulation


von Kohler Martin (Gast)


Lesenswert?

Hallo Forum,
Die erwähnte Version läuft ja bis 10'000 Code-Zeilen mit 30% der
Maximalleistung, bei mehr Zeilen sinkt die Simulationsgeschwindigkeit
auf 1%.

Wie finde ich nun heraus, wie nah ich an der Limite dran bin?
Bisher hat sich die Zählroutine nur gemeldet, wenn die Limite
überschritten wurde. Da wurde eine Warnung ausgegeben, dass die
Simulation elend langsam sein würde, was sie auch tat.

Ich möchte nun herausfinden, wo ich mit meinem Desing in etwa stehe. Im
Moment läuft noch alles mit der Starter Version, aber ich weiss halt
nicht wie lange noch.

Weiss jemand Rat?

Gruss, Martin

von Martin Kohler (Gast)


Lesenswert?

Nun, da keiner dazu etwas weiss:

ich habe jetzt selbst einen Weg gefunden, resp. den
"Praktiker-Ansatz" gewählt.
In das Testbench-Controlfile (welches die Testsignale erzeugt) habe ich
einfach 10'000 Zeilen mit "wait for 1 ns;" eingefügt.

Die MXE III Starter Simulation hat dann prompt gemotzt dass die z.B.
14'365 Zeilen zuviel seien und die Performance signifikant absinken
werde (auf die spezifizierten 1% von PE)

Da aber 10'000 sinnlose Zeilen drin sind, kann nun gesagt werden, dass
die eigentliche Simulation 4'365 Zeilen gross ist und demnach eine
Reserve von 5635 Zeilen bis zur Limite besteht.

Gruss, Martin

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.