Forum: FPGA, VHDL & Co. [VHDL] Tastaturabfrage


von Markus A. (mad)


Lesenswert?

HALLO

also ich möchte halt abfragn, ob eine Taste gdrückt wurde und dann
entsprechend ein Ereignis auslösen ... z.B. aus meinem Beitrag vorher
eine Linie 1px nach unten wandern lassen ...


kann mir da jemand einen Tipp geben ... oder einen Link ... habe da
nicht viel brauchbares gefunden ...

vielen Dank schon mal im Voraus ...

von T.M. (Gast)


Lesenswert?

Du musst halt nen PS/2 Interface schreiben. Schau mal unter:

http://www.xess.com/ho03000.html

Dort findest du ne Menge an Projekten in VHDL für das Board der Firma,
lassen sich ja aber leicht anpassen. Da sind auch welche für PS/2
dabei. Ist echt ne echte Fundgrube...


T.M.

von Markus A. (mad)


Angehängte Dateien:

Lesenswert?

hallo ...

etwas länger her, aber ist einiges dazwischen gekommen ... also 
mittlerweile habe ich ein ein PS2 Interface ... hatte durch Zufall ein 
Demo-Prog von Digilent in die Finger bekommen und da ist ja auch eine 
Tastaturabfrage mit drin ... siehe angehängten Code ...

damit kann ich auch schön den Tastencode ausgeben ... allerdings möchte 
ich, dass der Tastencode nur ausgegeben wird solange die Taste gedrückt 
wird ... dann nicht mehr ... krieg ich zur Zeit irgendwie nicht hin ..

vielen Dank schon mal für die Hilfe

Gruss Markus

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.