Forum: Mikrocontroller und Digitale Elektronik TCCR0 und MEGA16


von Günter König (Gast)


Lesenswert?

Hi,

Folgendes wird gewünscht:
Timer 0 = Countermode, steigende Flanke an T0 triggert den Counter.

Dazu wird TCCR0 auf $07 gesetzt.

Lt. Simulator ist TCCR0 zwar auf $07 aber TCNT0 taktet munter vor sich 
hin. Als Mode ist clk/1024 aktiv.

Gibt es für den Timer 0 noch andere relevanten Register die bearbeitet 
werden müssen?


Günter

von Uwe (Gast)


Lesenswert?

Hallo Günter!
Du hast zwar den Proz.nicht benannt, aber ich denke das wird wohl ein 
"Hase" in der Simulation sein. Etwas anderes taktet aber deinen 
T0-Ausgang/Eingang nicht zufällig?

MFG Uwe

von Günter König (Gast)


Lesenswert?

Der Proz ist, wie im Betreff angegeben der MEGA16.
In der Simulation wird an T0 derzeit nichts getaktet. Das Sonderbare 
ist, das mit einem 8535 alles O.K. ist.

Gruß und Dank,

Günter

von Uwe (Gast)


Lesenswert?

Oh ja! Wohl dem der Augen hat zu lesen!
Also beim Mega8 sind auch keine anderen Varianten zu lesen. Zum Mega16 
habe ich momentan kein Datenblatt, aber ich denke es wird wohl beim 
"Häschen" bleiben.

MFG Uwe

von Günter König (Gast)


Angehängte Dateien:

Lesenswert?

Hi Uwe,
du kannst dir ja mal den Code (Auszug) ansehen und evt. mit deinem 
Simulator mal testen.
Es geht nur darum, den Timer 0 in den Countermode zu bringen.

Danke nochmal,

Günter

von Günter König (Gast)


Lesenswert?

Hallo Uwe,
ich habe gestern (Samstag!) mal den Entwicklern von VMLAB eine Mail 
geschickt und darin das Problem geschildert. Heute kam die Antwort:

Nothing mystic! we have verified there is a problem in the external 
clock
mode.

In a few days a fix will be available; I'll let you know.


Ist schon O.K. wenn man sieht, das man nicht alleingelassen wird, selbst 
nichmal am Wochenende.....


Schönen Sonntag noch,
Günter

von Uwe (Gast)


Lesenswert?

Hallo Günter!
Sieht doch gut aus für dich.Ich hätte vermutlich "In System",
mit einem Hilfsprogr, getestet.

MFG Uwe

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.