Forum: FPGA, VHDL & Co. FIR Filter rauscht


von Max (Gast)


Angehängte Dateien:

Lesenswert?

Hallo zusammen,

ich bin in der FH gerade dabei einen FIR Filter mit dem Spartan 3 Board
und dem Digilent AD/DA Wandler Board zu entwickeln. Das ganze soll
Audiosignale (wenn auch nur in 8 Bit Auflösung) filtern. Der Filter an
sich funktioniert auch nicht schlecht nur habe ich mit dem Filter eine
Art rauschen im Signal (hört sich an als würde er durch die Dämpfung in
den Anschlag gehen, was er aber nicht tut). Das durchgeschleifte Signal,
also mit abgeschaltetem FIR Filter klingt super. Ich bin leider mit
meinem Latein am Ende und hoffe hier ein paar Anstöße zu finden,
speziell ob ich einen Denkfehler in meiner FIR Filter VHDL habe.

Ich hoffe mir kann einer helfen.

Grüßle

Max

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Es ist wenig sinnvoll ein digitales Problem durch Beobachtung der
Analogsignale vor/nach den AD/DA-Wandlern zu suchen. Versuch doch erst
mal durch Simulation rauszufinden WAS an dem Ausgangssignal nicht
stimmt. Gibt es Übersteuerungen? Quantisierungsfehler? Kommt die
erwartete Impuls-, Sprungantwort raus? Usw.

von Alexander Lindert (Gast)


Lesenswert?

Ich studiere auch in einer FH und wir lernen mit dem Problem umzugehen
Du hast ein numerische Problemstellung.
Lösung 1. Umformung auf eine kannonische Normalform, dann umformen in
eine Kaskadenstruktur. Das reduziert dein Quantisierungsrauschen
beträchtlich!!!

Alexander

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Das ist ein _F_IR-Filter, da gibt's keine Kaskadenstruktur.

von Max (Gast)


Lesenswert?

Hallo Andreas,

danke für dich schnelle reaktion. Also die Sprungantwort gibt wie
erwartet die Koeffizienten aus. Ich vermute das die Störung etwas mit
dem Nullpunktsabgleich zu tun hat. Ich habe aber leider keine Idee wie
ich es sonst tun könnte (wie im Programm zu sehen).

Habe ich in meinem Programm einfach einen Denkfehler was die
Berechnungen und die Quantisierungen angeht?

Max

von Max (Gast)


Lesenswert?

Also ich habe jetzt einen (den) Fehler gefunden :-) ich habe die
berechneten Werte gleich wieder gerundet und nicht mit den 16 Bit
Werten der Multiplikation bis zum Ende gerechnet. Das Ergebnis ist
jetzt um einiges überzeugender. Ein gewisses Hintergrundrauschen habe
ich zwar immernoch aber das deute ich jetzt mal als
Quantisierungsrauschen.

Max

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.