Forum: FPGA, VHDL & Co. ISE WebPack ucf Datei erstellen


von Frank M. (frank_m)


Lesenswert?

Hallo,
ich versuche mich gerade ein wenig in dem Programm ISE WebPack von 
Xilinx.

Erstelle dazu ein sheet1 und zeichne meine Zeichnung danach noch die 
Pinbelegung vergeben in der  sheet1.ucf  Datei. Das haut auch alles hin, 
auch eine VHDL Datei erstellen klappt schon.

Aber wenn ich zwei Sheet`s erstelle (eins wird als Top Modul Deklariert) 
bekomme ich keine ucf Pin Datei erstellt. Es wird nur eine ucf Pin Datei 
von Sheet1 erstellt.

Wie bekomme ich es hin das ich mehrere sheet Dateien  eine UCF Pin 
Belegung erstellen kann.

Danke für Antworten….

mfg
Frank

von Fpgakuechle K. (Gast)


Lesenswert?

Es ist pro FPGA-Design nur eine ucf datei gestattet.
Üblicherweise sind alle IO des FPGA's in der Toplevel entity definiert, 
also
wird nur für dieses schematic ein ucf file erzeugt.

Andere Tools erzeugen auch Einträge im ucf file (constraint editor, 
architecture wizard(?)). Aber vorsicht, da geht gern was kaputt. Besser 
das ucf file per Hand pflegen (selber schreiben). Du kannst ja die ucf 
files aus den tools als Vorlage nehmen.


Xilinx hat vor ca. 2 jahren mehrere ucf files per design versprochen, 
ich habe davon noch nichts gesehen.

von Frank M. (frank_m)


Lesenswert?

Verstanden habe ich das was du schreibst.
Aber schön ist es nicht im Xilinx Programm.


Habe gerade im Sheet 1  (4 mal Add I/O Marker) gesetzt, im Sheet 2 (2 
mal Add NetName) vergeben.

Und siehe da ich habe jetzt alle 4 PortPins in der ucf Datei.

Ist das wirklich die einzigste Möglichkeit die Pins so zu vergeben ?


mfg
Frank

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.