Forum: FPGA, VHDL & Co. CPLD Einsteiger braucht Hilfe bei der Programmierhardware


von Cavorca (Gast)


Lesenswert?

Hallo,

Ich habe ein CPLD von Xilinx. Paralellport JTAG Programmierhardware und 
eine Platine mit CPLD drauf habe ich bei ebay gekauft. wenn ich alles 
anschließe und einen boundary scan ausführe kommt die meldung "There are 
many unknown devices being detected . Press Yes to continue or press No 
to stop"

Ist das eine bekannte Fehlermeldung? Ich bin ratlos, wenn ich die 
Meldung bei google eingebe bekomme ich 2 Einträge, beide helfen nicht 
weiter. Überhaupt scheint das bei jedem außer mir einfach so zu 
funktionieren. Ist zumindest in allen tutorials die ich finden konnte 
nur extrem knapp erklärt. Auf welchem modus muss der parallelport 
stehen? epp? ecp? kann es sein, dass es nicht funktioniert, weil ich es 
an einem notebook versuche? die seriellen schnittstellen sind da ja 
nicht so 100% bastlerkompatiebel wie ich gehört habe... Muss ich 
irgendwo wie bei einem mikrocontroller 12V programmierspannung anlegen? 
oder JTAG kabel dran stecken, gerät mit 5V spannung versorgen, fertig?

was mich auch stutzig macht, ist dass der cpld relativ viel strom zieht, 
wenn ich ihn anschließe. ich weiß den wert grade nicht auswänndig aber 
ich meine irgendwas bei 20-50mA (und das obwohl er nur an die 
spannungsversorgung angeschlossen ist)

Ich hoffe mir kann hier wer einen guten tipp geben....

MfG Cavorca

von Falk (Gast)


Lesenswert?

Was für ein CPLD ist es denn? Die 9500(XL) ziehen schon ein paar mA, die 
Coolrunner(II) kaum was. Liegt am Board die korrekte Betriebsspannung 
an? Die versorgt nämlich auch den JTAG Adapter. Das oben genannte 
Sympthom klingt nach fehlender Betriebsspannung oder schlechten 
Kontakten.

MfG
Falk

von Cavorca (Gast)


Lesenswert?

es ist ein XC9536 und er zieht anfangs kurz über 100mA dann geht er auf 
60 mA runter.

Als Betrebsspennung habe ich 4,7V (Ich nutze ein altes Computernetzteil 
und habe einen Widerstand zur Strombegrenzung eingebaut.)

Ich werde mal messen wie viel Spannung am JTAG adapter anliegt. Dann 
werde ich es mal mit den vollen 5V versuchen, kann mir aber nicht 
vorstellen, dass das bisschen zu wenig was ausmachen soll.
Aber ist das richtig? 12V programmierspannung brauche ich hier nicht? 
wenn doch wo anlegen?

MfG cavorca

von Cavorca (Gast)


Lesenswert?

ok: Am JTAG adapter kann ich 5V messen, das ist es also nicht, wenn ich 
auf die Stabilisierten 5V gehe ist es auch das gleiche.
Sonst noch ideen?
MfG cavorca

von Fpgakuechle K. (Gast)


Lesenswert?

Cavorca wrote:
> es ist ein XC9536 und er zieht anfangs kurz über 100mA dann geht er auf
> 60 mA runter.

> Aber ist das richtig? 12V programmierspannung brauche ich hier nicht?
> wenn doch wo anlegen?

Für die XC95xxx braucht man KEINE Extra Programmierspannung und schon 
garnicht 12V.

von Falk (Gast)


Lesenswert?

Das mit dem Computernetzteil schreit nach Problemen. Die Dinger brauchen 
meist ne ordentliche Grundlast (1A++) um eine halbwegs sauber geregelte 
Ausgangspannung zu liefern. Nimm bitte ein geeignetes Netzteil, z.B. 
eins der kleinen Steckernetzteile mit 100..1000mA. Und bitte eine eine 
gescheiten Spannungsregler, 7805 ist einfach und solide. Eine unsaubere 
Spannungsversorgung macht die tollsten Sachen verursachen, vor allem 
kann ein Computernetzteil ohne Minimallast schöne Überspannungen 
ausspucken, die dir deinen CPLD rösten. 60mA für nen 9536 klingt bissel 
viel. Vielleicht isser ja schon leicht gebrutzelt. Die Ganze Sache 
braucht nur 5V, die aber sauber. Hast du den JTAG-Adapter richtig 
angeschlossen? Alles 4 Signale + Masse + 5V? Nicht TDO mit TDI 
verwechselt?

MFG
Falk

von Cavorca (Gast)


Lesenswert?

Ich habe jetzt mal den adapter von fischl.de nachgebaut. schließe ich 
den ans notebook an zieht das teil direkt deutlich mehr als 100mA 
(vollausschlag beim drehspulinstrument)

Wie gesagt, ich habe alles bei ebay gekauft (ich trottel) denke nicht 
dass es falsch angeschlossen ist, aber ich werde das heute abend in ruhe 
mal prüfen.

@Fpga Kuechle:
gut. aber was ist das hier auf seite 11:
http://www.xilinx.com/support/programr/files/9500.pdf
Keine ahnung was das da in dem pdf sonst sein soll...
Normalerweise ist es ja eine gute taktik bei wiedersprüchlichen 
informationen die quellen die der restlichen meinung wiedersprechen zu 
ignorieren, aber wenn diese quelle der hersteller ist?? hm...

@Falk:
Ist das auch bei uralt AT-Netzteilen so? hatte damit bisher nie 
probleme.... meine Schaltungen, die ich bisher damit betrieben hatte 
waren kleinere schaltungen mit einem pic. wobei das die unerklärlichen 
resets die ich ab und zu hatte in ein ganz anderes licht rückt :-)

gut, dann werde ich es als nächses mal mit einer anderen spannungsquelle 
versuchen...
Der cpld hat aber von anfang an so viel strom gezogen. beim ersten 
einschalten habe ich direkt wieder ausgeschaltet, weil ich gedacht habe 
da ist offensichtlich was falsch verbunden.

Eigentlich habe ich das so bei ebay gekauft, weil es neuland für mich 
ist und ich nicht 2 sachen auf einmal neu basteln wollte. wenn man nur 
ein gerät neu testen muss, weil man von dem anderen sicher ist, dass es 
funktioniert vereinfacht das die suche nach einem fehler erheblich ;-)
Ich wollte aber auch nicht zig euro für ein  aufwändiges testboard 
ausgeben, daher bin ich bei dieser lösung gelandet.

Wenn hier mir jemand eine bessere lösung anbieten kann immer her damit!

MfG cavorca

von Gast (Gast)


Lesenswert?

Bist du sicher, dass es ein 5V CPLD ist?

Vieleicht bratest du gerade einen 3,3V-Type.

> es ist ein XC9536 ..

Was steht dahinter?

MfG
Gast

von Fpgakuechle K. (Gast)


Lesenswert?

> @Fpga Kuechle:
> gut. aber was ist das hier auf seite 11:
> http://www.xilinx.com/support/programr/files/9500.pdf
> Keine ahnung was das da in dem pdf sonst sein soll...
> Normalerweise ist es ja eine gute taktik bei wiedersprüchlichen
> informationen die quellen die der restlichen meinung wiedersprechen zu
> ignorieren, aber wenn diese quelle der hersteller ist?? hm...

ich hab mal im datenblatt gewühlt:
http://www.xilinx.com/xlnx/xweb/xil_publications_display.jsp?iLanguageID=1&category=-19215&sGlobalNavPick=&sSecondaryNavPick=
 und finde nix von Vpp und 12V

Vielleicht sind die Packages die Lösung. Die in deinem Dokument 
genannten:
44 lc und 44 VQFP tauchen in meinen neueren Datenblättern nicht auf. Hat 
da Xilinx im Laufe der jahre was geändert?
An meinen CPLD board kann man eine Batterie 3.0 V Baterie-Block 
anschliessen und damit läuft es. Ist aber ein XC9500XL drauf.

von Cavorca (Gast)


Lesenswert?

@gast:
laut ebayauktion ist es ein 5V typ. hinter de, 9536 steht nur tm für 
trademark.
vollständige bezeichnung:

XC9536 (TM)
VQ44AEM0001
F1113053A
7C

auf der platine ist auch eine drahtbrücke für 5V



Kennt von euch vielleicht jemand "amadeus"? ich meine den programmer für 
pic und avr.
http://home.arcor.de/bernhard.michelis/index.html

den habe ich um meine pics zu programmieren. bei der aktuellen version 
kann man eigene programmieralgoritmen benutzen. ich hatte mal überlegt, 
dass man sich da was für cpld basteln kann, aber wie gesagt, zwei 
verschieden neue sachen mache ich ungerne gleichzeitig, man braucht 
einfach zu lange um fehler zu finden. Aber vielleicht hat ja hier noch 
jemand außer mir daran interesse?

Gibt es etwas, dass ich sinnvoll mit einem oszilloskop überprüfen kann?

MfG Cavorca

von Cavorca (Gast)


Lesenswert?

Ich habe es jetzt mal mit einem 7805 versucht. Alles beim alten. Weitere 
ideen?

MfG

von Falk (Gast)


Lesenswert?

Keine Idee :-( Du hast das Problem, dass du zwei potentiell defekte 
Stück Hardware (Programmieradaper und CPLD) nur mir sich selber testen 
kannst. Hast du ein digitales Oszi? Dann schau dir mal die Signale 
TCK/TMS/TDI/TDO an, ob dort was klimpert. Wenn eines der Signale nicht 
zuckt, ist das schlecht.

MfG Falk

von Cavorca (Gast)


Lesenswert?

ok mach ich. Das oszi hat zwar nur 6 MSPS aber das sollte ja reichen...
Ich habe auch noch einen anderen cpld hier, aber im plcc gehäuse. für 
den wollte ich mir einen sockel kaufen bevor ich den teste.

Ist es sonst egal wie die Parallele schnittstelle eingestelt ist? Das 
finde ich so ärgerlich an com und ltp. grade bei dem com port werden die 
standarts tatsächlich nicht erfüllt. ich habe damals x verschiedene 
programmer für den comport gebaut. ich denke sie haben nicht 
funktioniert, weil mein port nicht so 100% normkompatibel war... ;-)

Was hast du denn als Programmer für den cpld? was für ein 
experimentierboard? An was für einem computer hängt das? hier habe ich 
ein PIII notebook, wenn das größere erfolgsaussichten hat kann ich aber 
auch einen alten desktop pc auftreiben...

von Falk (Gast)


Lesenswert?

6 MSPS reichen dafür.
Ich hab immer mit dem Parallel-III Cable von Xilinx gearbeitet. Die LPT 
Einstellung ist bei dem Ding egal, das klimpert nur mit den Bits. Wenns 
ein Parallel-IV Kabel ist, braucht es den EPP Mode. Am Laptop sollte es 
nicht liegen.

MfG
Falk

von Mike (Gast)


Lesenswert?

Welche GND sind denn an den Programmieradapter angeschlossen (vom PC)? 
Optimal wäre es, wenn Pin 18 bis 25 komplett an GND angebunden wären. 
Einige Motherboards haben nämlich nicht alle an GND angebunden!

Gruss

Mike

von Cavorca (Gast)


Lesenswert?

@Mike:
ja, sind alle mit GND Verbunden.

@Falk:
Mein Oszi macht Ärger (=läuft momentan nicht) ich schreibe, wenn ich es 
wieder hinbekommen habe.


Ist es eigentlich normal, dass iMPACT diese Meldung auch raus gibt, wenn 
nichts an den JTAG adapter angeschlossen ist?
Vielleicht kann das hier jemand mal ausprobieren?

MfG Cavorca

von Cavorca (Gast)


Lesenswert?

ok, oszi geht wieder.

direkt am notebook messe ich am ausgang von der taktleitung 2 
unmittelbar aufeinander folgende peaks, also die ganze zeit auf high, 
dann 2 mal kurz low, dann wieder die ganze zeit high.
Ich nehme an das ist nicht gut.
Hat jemand noch Vorschläge, was ich als nächstes machen sollte?

Danke für jede Hilfe!

von Cavorca (Gast)


Lesenswert?

An alle die ähnliche Probleme haben oder auch vor dem Einstieg in die 
CPLD Welt stehen:

Kauft nichts bei ebay, macht es selbst. Die notwendige Elektronik ist 
wirklich schnell gebaut. Ich habe mich jetzt letztendlich für diesen 
Aufbau entschiden:
http://www.mikrocontroller.net/attachment/13226/Simple-Xilinx-JTAG.jpg

und es hat alles ziemlich schnell funktionert.

Der hohe Stromverbrauch, den ich ganz am Anfang angesprochen hatte kam 
wohl daher, dass in den CPLD schon was reinprogrammiert war. Jetzt, nach 
einem erase, zieht er nur noch 20mA.

Allen die mir hier Tips gegeben haben vielen dank, allen die noch 
einsteigen wollen viel erfolg!!

MfG Cavorca

von Falk (Gast)


Lesenswert?

Naja, ich sag da mal VORSICHT!

Gerade die CPLDs und FPGAs von Xilinx sind bekannt für ihre 
Empfindlichkeit bezüglich sauberer Schaltflanken am JTAG Port. Ich 
empfehle DINGEND eine saubere Ansteuerung wie hier gezeigt. Dann gibts 
auch keine Probleme mit längeren Kabeln.

http://www.geocities.com/jacquesmartini/misc/Parallel_Cable_III.png

MFG
Falk

von Cavorca (Gast)


Lesenswert?

zuerst hatte ich mich ja auch für so einen aufbau mit treibern 
entschieden, aber der wollte nicht so recht. Wenn ich probleme mit 
meinem jetztingen aufbau habe sollte ich da sicher nochmal drüber 
nachdenken. Aber wenn beim programmieren beim verify keine fehler 
gemeldet werden ist doch alles in ordnung oder nicht?

MfG Cavorca

von Falk (Gast)


Lesenswert?

Ja.

MfG
Falk

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.