Forum: FPGA, VHDL & Co. Problem mit Projekten von Xilinx


von unwissender_Neuling (Gast)


Angehängte Dateien:

Lesenswert?

Hallo,

ich habe einige Probleme mit den Projekt xapp224 von Xilinx.

Das Projekt xapp224 ist zwar vollständig mit Testbench und allen drum 
und dran zum downloaden. Wenn ich aber von den einzelnen Modulen eine 
eigene (neue) Testbench erzeugen lassen will,kommt dann bei der 
Erzeugung der "New Source" als Testbench folgender Fehler:

>>Portability:90 - Command line error: Unexpected argument[12]Usage: >>vhdtdtfi 
{-lib <libname> {<vhdfile>}} [-lang vhdl|verilog] [-module
>><module_name>] [-prj <project>] [-template <template_file>] [-o >><tfifile>] 
[-err
>><errfile>] [-deleteonerror]
>>
>>  "/xapp224/test/testbe.vhd" found.
>>
>>WARNING: could not create L:/xapp224/test/testbe.vhd. Defaulting to 
>>boilerplate.
>>
>>Process "Create VHDL Test Bench" completed successfully
>>
>>Started : "Launching ISE Text Editor to edit testbe.vhd".

Es steht zwar da Testbench erstellt, allerdings sind in der erstellten 
Testbench alle Ein- und Ausgänge und dergleichen nur mit Platzhaltern 
bestückt.

Daher wollte ich Fragen ob jemand die gleichen Probleme mit diesen 
Projekten hat bzw. hatte und eventuell gelöst bekommen hat.

Wenn jemand die Zeit hat das Projekt einmal aus dem Anhang (bzw. der 
Xilinx Seite direkt) zu downloaden und auf seinen Rechner ausprobiert, 
eine neue Testbench zu erstellen und mir hier dann sein Ergebnis 
mitteilt, wäre ich sehr dankbar.

Danke

unwissender_Neuling

von Xenu (Gast)


Lesenswert?

Also bei mir geht das einwandfrei.

von unwissender_Neuling (Gast)


Angehängte Dateien:

Lesenswert?

Wenn es einwandfrei geht,
würde ich gern wissen welche Einstellungen du vornimmst wenn du das 
Projekt erstellst.
Wie ich es eingestellt habe siehst du im Anhang.

Außerdem lasse ich die 3 Punkte: Synthesize - XST, Implement Design und
                                 Generate Programming File
durchlaufen und bekomme überall das grüne Häckchen.

Anschliessend gehe ich über "Create New Source" ->"VHDL Test Bench".

Wie schon gesagt kommt dann immer eine "leere" Test Bench heraus.

Gruss

unwissender_Neuling

von Xenu (Gast)


Lesenswert?

Also bei mir gehts. Ob du das vorher synthetisierst ist wurst.
Welche ISE-Version benutzt Du?

von unwissender_Neuling (Gast)


Lesenswert?

Ich benutze ISE 8.2i

von unwissender_Neuling (Gast)


Lesenswert?

Juhu,

habs rausbekommen.

Lag daran, das die Projekt-Dateien in einen Ordner hatte,welcher in 
einen Ordner lag der ein Leerzeichen in der Bezeichnung hatte.

Dummer Fehler ich weiss, aber muss man erstmal darauf kommen.

von Falk (Gast)


Lesenswert?

Naja, eigentlich sollte das unter Win2k/XP kein Thema mehr sein. Da aber 
die Quellen auch für Linux verwendet werden, wirds dort wahrscheinlich 
irgendwo hängen.

MFG
Falk

von Christian Schiffner (Gast)


Lesenswert?

Grüsse

Kann dir leider nicht weiterhelfen,aber da ich dieselbe ISE-Version habe
wollt ich einfach mal fragen ob bei euch die Fehlermeldung schon mal 
auf-
getreten ist und wie man sie evtl.beheben könnte:

 work/Counter/Behavioral is not compiled properly. Please recompile 
work/Counter/Behavioral in file "/Xilinx_Test/Test_1/Counter.vhd" 
without -incremental option.
ERROR:Simulator:199 - Failed when handling dependencies for entity 
testbench, architecture testbench_arch

manchmal auch:C++Compilation was unsuccessfully

Wollte einfach mal das Counter Projekt aus dem ISE Quickstart Tutorial
probieren.Funktioniert aber nur der Syntax Check.Bei Erstellung der 
Testbenchwaveform mit Klick auf Generate Expected Simulation Result 
werden
dann die Fehlermeldung gebracht.

von Rick Dangerus (Gast)


Lesenswert?

In dem zweiten Fall hilft Dir vielleicht folgendes weiter:

http://www.mikrocontroller.net/articles/Xilinx_ISE_Linux#ERROR:Simulator:222

Rick

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.