Forum: FPGA, VHDL & Co. ISE 9.1 ist da


von Michael (Gast)


Lesenswert?

Hi @ all

Das neue ISE 9.1 wird auf der Homepage von Xilinx vorgestellt. Für alle 
die es interessiert www.xilinx.com

Grüsse

Michael

von Gast (Gast)


Lesenswert?

Wie Groß ist es diesmal?

von Falk (Gast)


Lesenswert?

Wieviel neue Bugs hat es diesmal?

Mal ehrlich, was soll dieser Update-Wahn?

Was kann ISE 6 oder 7 nicht, was ISE 9.1 kann und man UNBEDINGT braucht?
NIX!

Keinen Bock auf Beta-Tester.

MFG
Falk

von Chef (Gast)


Lesenswert?

In der 9.1 ist angeblich alles geändert. Trotzdem werde ich nicht 
umstellen, da die Kunden und Projektpartner das auch tun muessten. 
Xilinx sollte davon absehen, ständig neue Versionen auf den Markt zu 
werfen.

von Christoph Kessler (db1uq) (Gast)


Lesenswert?

Ist das die kostenlose Version Webpack ISE ?
in der heutigen e-mail von Xilinx steht nur
"Get it Now! — Your  ISE 9.1i Evaluation or purchase ISE Foundation™ 
9.1i"

von FPGAküchle (Gast)


Lesenswert?

Es ist nur die bezahl version releast. Wie üblich wird es ein paar tage 
bis zum Webpack dauern. Und dann ein paar Wochen bis das erste 
Servicepack die programme bedienbar macht.

von Falk (Gast)


Lesenswert?

Wer braucht Webpack 9.1?? Nur Masochisten!

MfG
Falk

von Matthias (Gast)


Lesenswert?

"Was kann ISE 6 oder 7 nicht, was ISE 9.1 kann und man UNBEDINGT 
braucht?"
--> bestimmt kann 9.1  noch mehr Speicher fressen :)

von Michael (Gast)


Lesenswert?

Macht doch nicht immer neue Software so schlecht. Immerhin soll es 
schneller sein. Das ist doch schon mal etwas. Die Könnten mal den 
Symboleditor verbessern, der so schlecht, das man diesen nicht benutzen 
kann.

von Stefan Helmert (Gast)


Lesenswert?

Hallo,

ich glaube die neue ise kann jetzt noch besser optimieren! Nicht nur, 
dass alle Ein- und Ausgänge wegoptimiert werden - NEIN - sogar der 
Benutzer wird vor dem Bildschirm wegoptimiert, denn er ist nie 
optimal... bis nur noch die ise übrig bleibt und sie feststellt, dass 
sie sich jetzt nur noch selbst optimieren muss, da ja kein Programm 
perfekt ist. Ist das schließlich auch geschaft, dann sinken auch die 
Systemanforderungen - Da läuft die ise auch weiter, wenn man den Stecker 
des Computers aus der Steckdose zieht ;-)

von Stefan Helmert (Gast)


Lesenswert?

ach wenn wir gerade dabei sind: 
http://www.xilinx.com/products/silicon_solutions/cplds/coolrunner_series/coolrunner_ii_cplds/index.htm

>Lowest power consumption
>
>    * Ultra low power of 28.8 mW, 16µA typical standby current, with no >price 
premium
>    * DataGATE and Clock divide for reduced power consumption

schon mal überlegt welche Betriebsspannung da anliegt? ;-)

von Gast (Gast)


Lesenswert?

1,7kV! Cool, was die Xilinx so aushalten ...

Du hast aber Recht, Stefan, die ISE ist nichts anderes als der omiönse 
Virus aus Terminator 3, der die Weltherrschaft übernimmt. Xilinx weis 
nur nichts davon. Die ISE hat kängst begonnen, sich zu replizieren. 
Irgendwann einmal wird sie schlagartig alle Xilinxbausteine weltweit 
umprogrammieren, dass die Maschinen intelligent werden, sich vereinen 
und die Menschen zu ihren Sklaven machen.

Ist euch noch nicht aufgefallen, daß "Xilinx" verdächtig änhlich nach 
Matrix klingt?

Dann braucht es einen Auserwählten, der diese Maschinenwelt bekämft!

... und ein altmodisches Telefon :D

von Falk (Gast)


Lesenswert?

@Michael

>Macht doch nicht immer neue Software so schlecht. Immerhin soll es
>schneller sein. Das ist doch schon mal etwas. Die Könnten mal den

Du bist auch so ein leichtgläubiger Mensch, he? Das neue ISE ist 
viiiiiel besser, schneller! Marketinggesülze.

>Symboleditor verbessern, der so schlecht, das man diesen nicht benutzen

Symboleditor? Ich mach nur VHDL.

MfG
Falk

von Michael (Gast)


Lesenswert?

@Falk

Am besten alles in eine Datei schreiben. Das ist doch ...

Heute programmiert benutzt man ein Topdowndesign und dazu gehören nun 
mal Module. Ich erzeuge z.B. in 1 Minute meinen Block mit den Eingängen 
und lasse mir dann daraus die VHDL-Vorlage erzeugen. (benutze Altium 
Designer) Die Blöcke kann ich dann einfach miteinander verbinden. 
Dadurch wird das Programm sehr übersichtlich. Habe z.B. auf der obersten 
Ebene einen Block für die Digitalisierung, einen für Signalanalyse und 
einen für die Augabe über eine Schnittstelle. Und genau das geht bei ISE 
bis jetzt sehr umständlich.

von Falk (Gast)


Lesenswert?

@Michael

>Am besten alles in eine Datei schreiben. Das ist doch ...

Hat niemand behauptet.

>Heute programmiert benutzt man ein Topdowndesign und dazu gehören nun
>mal Module. Ich erzeuge z.B. in 1 Minute meinen Block mit den Eingängen

Muss du mir nicht erklären, das mach ich selber professionell.

MfG
Falk

von Michael (Gast)


Lesenswert?

@ Falk

Es war nicht böse gemeint

von fuebel (Gast)


Lesenswert?

Weiss wer, ob die Parallelporttreiber jetzt endlich under XP64 
funktionieren? Irgendwie finde ich keine richtigen Releasenotes, nur 
Marketinggeschwafel.

Frank

von Chef (Gast)


Lesenswert?

... und das erste Patch ist auch schon draussen! Herrje, war da doch 
glatt ein bug drin. Sowas aber auch ...

von Christoph Kessler (db1uq) (Gast)


Lesenswert?

Jetzt ist auch das kostenlose WebPack 9.1 verfügbar:

"...this new version of ISE WebPACK™ includes all the latest features of 
the 9.1i release, including full support for optional embedded, digital 
signal processing (DSP) and real-time debug design flows.
Most significant with the 9.1i release, ISE WebPACK includes the new 
Xilinx SmartCompile Technology which dramatically improves runtimes 
while maintaining exact design preservation of unchanged logic. ISE 
WebPACK 9.1i also includes support for all devices in the Spartan™-3A 
family of FPGAs and select Virtex™-4 and Virtex-5 FPGA devices.
Download  Get It Now! — Download ISE WebPACK 9.1i"

von FPGAküchle (Gast)


Lesenswert?

Habs gestern mal gezogen, ist deutlich größer (1.4 GB gegenüber 1.0 GB 
der 8.2), der Download verlief aber problemfrei. Es scheint ein Paket 
für Linux und Windows zu sein. Oder hab ich da was falsch verstanden?

von Falk (Gast)


Lesenswert?

>Jetzt ist auch das kostenlose WebPack 9.1 verfügbar:

Kostenlos? Das wird tausende von Usern ENDLOS Nerven kosten . . .;-)

Lästerliche Grüsse
Falk



von Xilinxuser (Gast)


Lesenswert?

Soll ich das wirklich laden? Wie kriege ich das transportiert?  Können 
die nicht 2-3 kleinere Pakete machen mit Teilinstallationen - wäre auch 
besser zu laden.

von FPGAküchle (Gast)


Lesenswert?

Es hat auch ein Webinstall. Oder ein Splittool das eine Datei in 
handliche Stücker zerlegt. Und Xilinx bietet auch ein CD/DVD Set an. Ob 
auch schon für 9.1 ist unbekannt.

von T.M. (Gast)


Lesenswert?

"...Das wird tausende von Usern ENDLOS Nerven kosten..."
Ich werd mir die Nerven sparen und bei 8.2 bleiben. ;-)
Das Marketinggewäsch kann man doch eh nicht ganz ernst nehmen.

von FPGAküchle (Gast)


Lesenswert?

So jetzt hab ich mal die 9.1 im vergleich zur 8.1 testen können.

-1. BUG gefunden, map hat unter Linux probleme, mit Instanziierten 
Komponenten (hier DCM), wenn im VHDL-Code DOS-Zeilenumbrüche verwendet 
werden. Also nicht nur der Augen wegen, vorher dos2unix über solche 
Files laufen lassen.


2.Kollege sagt design wird größer, dagegen ist es bei mir von 1285 auf 
1210 slices geschrumpft. Allerdings habe kich eine Optimierungsschalter 
angepasst. Die designs wurden vom selben makefile prozessiert, nur der 
Pfad zu den executables war ein anderer.

3.Kollege sagt, das design wird langsamer, ich kann dazu nix endgültiges 
dazu sagen, da ich die constraints noch nicht ans Limit gesetzt habe. 
Das reportfile vom par sieht anders aus. Einige Zahlen wollen eher 
schnellere designs (bei mir) suggerieren, aber da bin ich vorsichtig. 
Wenn es stimmt, komme ich von 22.13 ns auf 18.8 ns (bei Period 25 ns).

4.Die synthesedauer scheint von 3:20 min auf 2:30 gesunken.

4b. Lt. Kollege verwendet der XST keine MUXer, jedenfalls schreibt er 
dergleichen nicht mer ins report file.

5.die Installation mosert wg, angeblich 0 byte freie Platte, macht dann 
aber doch seinen job. ich hab hier ein ext3 auf RAID zu laufen.

6. Es gibt ein Paket für Win und Linux. Das ist aber 1.5 GB fett. 
Installiert sind es 4.1 GB.

Fazit: Also für mich klingt die verkürzte Synthesezeit und das kleinere 
design vielversprechend, allerdings hat der Kollege andere Erfahrung 
gemacht. ich werde in nächster zeit verstärkt mit der 9.1 arbeiten.
Die 8.2 scheint man derzeit getrost überspringen zu können.

von Dirk (Gast)


Lesenswert?

Bei mir funktioniert Impact unter Windows in der 9.1 nicht mehr.
JTAG Chain wird erkannt, nur nach dem Programmieren des BIT Files
meldet Impact "Programming failed".

von Michael (Gast)


Lesenswert?

Ich habe mal das Webpack 9.1 gesaugt. Hat ca 18 Minuten gedauert. (16 
MBit DSL :-)  )

Von der Optik hat sich ja nichts auffälliges getan.

von conter (Gast)


Lesenswert?

Hi,
Same problem for me. Seems to be OK when configuration clock is reduced
(from 6 (default) to 3 in impact configuration)
Salut

von Dirk (Gast)


Lesenswert?

Seems to work for me, too.
Many thanks.

cheers

von Ale (Gast)


Lesenswert?

Eingebautes Simulator ist viel besser als 8.1/8.2.

von Lothar (Gast)


Lesenswert?

Ich wills mal so sagen: wenn ich mit einer kostenlosen Software wie dem 
WebPack schon richtig komplexe Designs fertigstellen kann, dann ist die 
auf jeden Fall ihr Geld wert. Woanders gibts sowas nicht billiger.

Und klar: wenn ich jetzt die 9.x-Version nicht brauche, installiere ich 
sie nicht. Aber z.B. habe ich damals recht sehnsüchtig auf die 8.x 
gewartet, weil da dann schon der Core-Generator im WebPack mit dabei 
war.

Und eines kann sich Xilinx in jedem Fall auf die Fahne schreiben: weil 
die nämlich als erste ihre Software verschenkt haben, mussten auch die 
anderen Hersteller mit kostenlosen Design-Tools nachziehen.
Und davon profitieren wir doch alle. Oder: wer würde sich für Zuhause 
zum Spielen ein Design-Tool für 10k-Euronen (per anno, mindestens) 
kaufen. Da lebe ich doch lieber mit den paar Macken, die das WebPack 
hat. Punkt.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.