Forum: FPGA, VHDL & Co. function synthesefähig?


von Johann M (Gast)


Lesenswert?

Wenn ich functions und procedures (VHDL) in einem package in ein Design 
einbinde ist das dann synthesefähig? Oder wird nur die entity 
synthetisiert und die functions nicht?

von Lothar (Gast)


Lesenswert?

@Johann M: prinzipiell werden nicht Funktionen oder Prozeduren 
synthetisiert, sondern deren Inhalt.

Wenn in einer Funktion oder Prozedur nur synthesefähige Konstrukte 
abgebildet sind und der Funktionsaufruf synthesefähig ist, dann klappts 
auch mit der Synthese.

Gruß
Lothar

von Johann M (Gast)


Lesenswert?

Danke.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.