Forum: FPGA, VHDL & Co. Probleme mit 16k*1 Blockram (ISE 9.1i SP3)


von Mike (Gast)


Lesenswert?

Hi,

ich habe gerade eigenartige Probleme bei der Verwendung eines 16k*1 
Blockrams (RAMB16_S1). ISE wirft folgenden Fehler:

1
=========================================================================
2
*                     Design Hierarchy Analysis                         *
3
=========================================================================
4
Analyzing hierarchy for entity <ram16kx1> in library <work> (architecture <behavioral>).
5
ERROR:Xst - Xst_HdlType_Imp_Matrix::GetRightDynamic : Right bound is not constrained for dimension 0.
6
ERROR:Xst:2683 - Unexpected error found while building hierarchy.

Tritt der Fehler nur bei mir auf oder ist das ein neues "Feature" der 
Version 9.1i SP3? Das Problem auch bei einem Minimalbeispiel 
reproduzierbar bei dem in der "port map" die Eingänge auf '0' bzw. "0" 
und der Ausgang auf open gesetzt wird.

Mit einem 8k*2 Ram funktioniert übrigens alles.

von Mike (Gast)


Lesenswert?

Kleiner Nachtrag: es handelt sich um einen Spartan 3e

von Falk B. (falk)


Lesenswert?

Poste mal deinen VHDL Code (als Anhang).

MfG
Falk

von Mike (Gast)


Angehängte Dateien:

Lesenswert?

Hier mal der Quellcode für das Minimalbeispiel. Er besteht allerdings 
fast nur aus dem Xilinx Language Template und macht ansonstnen nichts 
vernünftiges. Lässt sich aber trotzdem nicht synthetisieren. Wenn ich 
RAMB16_S1 gegen RAMB16_S2 austausche und noch die Busbreiten anpasse, 
dann funktioniert es eigenartigerweise.

von Stefan H. (stefanhanke)


Lesenswert?

Ich hab hier 9.1.01i, J31. Synthese für das Beispieldesign funktioniert 
(xc2v500-6fg456). Welchen Baustein verwendest du?

 -- stefan

von Stefan H. (stefanhanke)


Lesenswert?

Tja, ich dachte, ich hab hier SP3 drauf ... :-/ ich update gerade und 
probiere es dann nochmal.
 -- stefan

OT: Man konnte doch Posts mal editieren?!

von Falk (Gast)


Lesenswert?

Was soll der Quark? In der Entity ist nur der Takt drin. Das Ding wird 
rausoptimiert.

MFG
Falk

von Mike (Gast)


Lesenswert?

@Falk:

Wenn ISE bis zum Wegoptimieren kommen würde, dann wäre das schon einmal 
ein Fortschritt. In der Ursprungsversion hat der Codes auch mal 
sinnvolle Sachen gemacht. Bis ich leichtsinnigerweise ISE 9.1i (SP3) 
installiert habe. Ab dann gibt es bei der Synthese den Fehler aus dem 
ersten Posting. Da es in der Fehlermeldung keine brauchbare Zeilennummer 
gibt, habe ich dann nach und nach allen sinnvollen Code ausgebaut - der 
Rest steht in dem Listing.

@Stefan:

Ich habe hier einen xc3s500e. Das Language Template scheint ja für die 
beiden Bausteine identisch zu sein.

Ich werde dann wohl mal die 9.1i wieder herunterhauen, die 8.1i 
installieren und vorerst auf Experimente mit der neuen Version 
verzichten...

von Stefan H. (stefanhanke)


Lesenswert?

Warum können die Xilinx-Leute nie was RICHTIG machen?
Z.B. RICHTIGE Fehlermeldungen?!

"A severe error has occured. Do you want to retry?"

 -- stefan

von Stefan H. (stefanhanke)


Lesenswert?

Sorry, geht net. :(
WebUpdate hat gemeint, dass das Archiv kaputt sei...
 -- stefan

von Manne (Gast)


Lesenswert?

Hallo,
ich habe das selbe Problem.

RAMB16_S1_S9 im Spartan 3 mit ise9.1 sp3:
ERROR:Xst - Xst_HdlType_Imp_Matrix::GetRightDynamic : Right bound is not 
constrained for dimension 0.
ERROR:Xst:2683 - Unexpected error found while building hierarchy.

Hat schon jemand eine Lösung gefunden?

mfg
manne

von Mike (Gast)


Lesenswert?

Ich habe meinen Ram dann letztendlich aus 2 Bänken mit jeweils 4x 8K*2 
aufgebaut (anstelle von einer Bank mit 8x 16k*1).

von Gast (Gast)


Lesenswert?


Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.