Forum: FPGA, VHDL & Co. Webpack 9.1 -> Synthese läuft nicht


von Μαtthias W. (matthias) Benutzerseite


Lesenswert?

Hi

ich hab eben das 9.1er Webpack (Xilinx) installiert. Ein einfaches 
Projekt läßt sich aber schon nicht übersetzen. Fehlermeldung:

ERROR:HDLParsers - Cannot reanme dependency database for library "work", 
file is "xst/work/hdpdeps.ref".  Temporary database file 
"C:\\tmp\training\egal\xst\work\xil_248_5" will remain.  System error 
message is:  No such file or directory

Irgendjemand eine Idee?

Matthias

von Johnsn (Gast)


Lesenswert?

Hast du das Projekt mit der neuen Version erstellt?

Lösche mal das gesamt Work-Verzeichnis und versuch's erneut.

von Μαtthias W. (matthias) Benutzerseite


Lesenswert?

Hi

ja. Sogar neue Projekte funktionieren nicht. Ich versuch die 
Installation jetzt nochmal von DVD. Mal sehen was dann passiert.

Matthias

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.