Forum: Mikrocontroller und Digitale Elektronik Was sagt ihr zu dem ADC clock?


von Maik R. (kiamur)


Angehängte Dateien:

Lesenswert?

Hallo!

Ich habe hier gerade ein Projekt an der Backe, dass Haarscharf an der 
Grenze meiner Fähigkeiten bzw. Erfahrungswerte ist . . .

Ich möchte Daten von einem 12 Bit 25MHz ADC an einen FPGA schicken. Ich 
habe hier ein MAXIM1420 Evaluation Kit 
(>http://www.maxim-ic.com/quick_view2.cfm?qv_pk=3113) mit einem 
MAXIM1420 ADC drauf.

In dem Datenblatt vom 1420 
(>http://datasheets.maxim-ic.com/en/ds/MAX1420.pdf) steht unter Maximum 
Ratings für den Clock AGND-0.3V to AVDD+0.3V. AVDD ist bei mir 3.3V.

Jetzt habe ich den 25MHz Clock von meinem FPGA generieren lassen, und 
herausgekommen ist das, was im Dateianhang zu sehen ist.
In dem Oszillogramm sind ganz eklige Spikes zu erkenne, die bis über -1V 
gehen. Dieses Signal kann ich doch unmöglich an den ADC anlegen, oder? 
Ich habe nämlich Schiss, dass ich ihn damit zerstören könnte.

Was sagen die Praktiker unter euch zu dem Problem? Ich habe mit so etwas 
keine Erfahrung und hoffe, dass ihr ein paar Tips für mich habt.

Vielleicht ist noch zu erwähnen, dass im Datenblatt für das Evaluation 
Kit steht, dass der Clock ein Sinus mit 2Vp-p sein soll. Davon bin ich 
natürlich Meilenweit entfernt. Aber ich denke, dass schreiben sie auch 
nur, weil man dann die Besten Ergebnisse mit "ihrem" ADC bekommt, oder? 
Im Datenblatt selber habe ich nix darüber gefunden, wie die Spannung des 
Clock Signals sein soll/muss (außer in den Maximum Ratings).

Gruß
Maik

von Jadeclaw D. (jadeclaw)


Lesenswert?

Der FPGA dürfte mit Sicherheit nicht dafür verantwortlich sein. Der kann 
schließlich auch nur zwischen 0V und Vdd schalten. Ich vermute eher, daß 
wir es hier mit Leitungsreflektionen zu tun haben. Probier mal einen 
Widerstand direkt am FPGA-Ausgang in Serie zum Kabel, so 10-50 Ohm. Das 
sollte die Sache begradigen. Und kurze Kabel natürlich.

Gruß
Jadeclaw.

von Zoolu (Gast)


Lesenswert?

Wo bzw. wie hast du denn die Masse des DSO angeschlossen?

von Axel R. (Gast)


Angehängte Dateien:

Lesenswert?

Du kannst auch mal die Zeitbasis weiter aufziehen.
So dass ein-zwei Schwingungen zu sehen sind. Dann kann man das etwas 
besser erkennen.

Solange Du nur mit dem Evalboard spielst, mache Dir um die Spikes bitte 
keine allzu große Sorgen. Da passiert nichts. Die Eingangsbeschaltung ( 
T2 und drumrum ) sorgen dafür, dass nichts nennenswertes passiert.

AxelR.

von Maik R. (kiamur)


Lesenswert?

Hallo!

Danke euch für die Antworten . . .

@Jadeclaw:

Ich habe leider auf die schnelle keinen 10-50 Ohm Widerstand gefunden . 
. . Aber selbst mit 100 Ohm sieht es schon wesentlich besser aus (die 
Spikes sind nur noch ein Drittel so groß)!

@Zoolu:

Weiß jetzt leider nicht genau, was du mit DSO meinst . . .

@Axel:

Danke, dass du mal in das Datenblatt geschaut hast. Ich hatte ja auch 
gehofft, dass diese ganze Beschaltung auch was zur "Sicherheit" 
beiträgt. Aber sicher war ich mir nicht. Was sagst du zu der Aussage, 
dass es laut Eval Kit Datenblatt nur 2Vp-p Clocksignal sein sollen? 
Meine 2,8V werden ihn ja wohl nicht umbringen, oder?

Sorry, wenn ich ein paar blöde Fragen stelle, aber das Ding hat 100€ 
gekostet, und 2 Wochen gedauert, bis es geliefert wurde. Da will ich es 
nicht gleich beim ersten Anschalten kaputt machen.

Gruß
Maik

von Seff (Gast)


Lesenswert?

Zu Clk_T2 : R23 ist unnoetig. Der Trafo transformiert schon die 2 mal 25 
Ohm in Serie. C42 wuerde ich groesser machen, 10nF als Minimum. Mit dem 
Spielzeugscope sieht man leider nicht wie's wirklich ist. Um die Flanken 
aufloesen zu koennen sollten's mind. 1 Gsample sein. Den GND muss man 
auch anklemmen.

von Axel R. (Gast)


Lesenswert?

Zusammen mit dem Reihenwiderstand und R23 sind es sicher keine 2V mehr 
:)

von Axel R. (Gast)


Lesenswert?

Seff wrote:
> Zu Clk_T2 : R23 ist unnoetig. Der Trafo transformiert schon die 2 mal 25
> Ohm in Serie. C42 wuerde ich groesser machen, 10nF als Minimum. Mit dem
> Spielzeugscope sieht man leider nicht wie's wirklich ist. Um die Flanken
> aufloesen zu koennen sollten's mind. 1 Gsample sein. Den GND muss man
> auch anklemmen.


Prinzipiell richtig.
Aber ich würde am Board (vorerst) nicht rumlöten

von Maik R. (kiamur)


Lesenswert?

@Seff:
>Den GND muss man auch anklemmen.

Naja, der GND ist doch auch angeklemmt. Am GND vom FPGA Board . . . 
Warum denkst du, dass ich kein GND angeklemmt habe?

Gruß
Maik

von Maik R. (kiamur)


Angehängte Dateien:

Lesenswert?

So sieht das jetzt aus.

Sorry wegen der schlechten Qualität, aber ich habe das serielle Kable 
nicht da . . . .

von Axel R. (Gast)


Lesenswert?

Und, Status? Läufts?

von Maik R. (kiamur)


Lesenswert?

@Axel:

Ja, es kommen Daten im FPGA an. Vorerst habe ich aber nur die LSBs, die 
ja irgendwie immer am Toggeln sind (ohne Eingangssignal) benutzt. Wenn 
ich die mit dem Oszilloskop beobachte, dann ist das LSB ganz schön 
heftig am Toggeln, das nachste Bit schon nicht mehr so heftig, und das 
3. Bit ist schon sehr ruhig. Ich habe das ganze dann mal auf die LEDs 
von meinem FPGA Board gelegt, und kann da sehr gut unterschiedliche 
Helligkeiten erkennen.

Letzte Woche habe ich mich dann mit der Signalanpassung des zu 
digitalisierenden Signals beschäftigt (siehe diesen Thread: 
>Beitrag "OPV für kurze Impulse (< 1µs)").

Nächste Woche wird dann alles zusammengeschaltet. Bis jetzt sieht es 
ganz gut aus . . .

Gruß
Maik

von Andy (Gast)


Lesenswert?

DSO: _D_igitales _S_peicher _O_szilloskop

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.