Forum: FPGA, VHDL & Co. nicht belegte pins


von udo (Gast)


Lesenswert?

Hallo weiß jemand wie ich in der LogikSchaltung nicht belegte Pins 
meines Xc3x400 von Xilinx auf Masse lege. Direkt/Automatisch mit der 
Software beim erzeugen der Cinfig file?

Danke

von Johnsn (Gast)


Lesenswert?

Unter "Processes" => Create Configuration File (oder so ähnlich) die 
Properties per Rechtklick aufmachen. Der Punkt "Unused IOB-Pins" ist das 
was du suchst.

Gruß,
Johnsn

von udo (Gast)


Lesenswert?

Danke Johnsn das ist genau das was ich gesucht habe.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.