Forum: FPGA, VHDL & Co. LVDS - PULLUP / PULLDOWN


von Jan (Gast)


Lesenswert?

Moin

wie kann ich in meinem ucf LVDS Signale mit einem Pullup bzw Pulldown 
beschalten.

Es ist ein Xilinx ISE Projekt.

Danke

von Falk B. (falk)


Lesenswert?

@ Jan (Gast)

>wie kann ich in meinem ucf LVDS Signale mit einem Pullup bzw Pulldown
>beschalten.

Bei LVDS gibt es eigentlich keine Pull-ups.

MfG
Falk

von Jan (Gast)


Lesenswert?

och mist.

von Falk B. (falk)


Lesenswert?

@ Jan (Gast)

>och mist.

Warum glaubst du für LVDS Pull-Ups zu brauchen?

MFG
Falk

von Artur Funk (Gast)


Lesenswert?

LVDS Leitungen musst du abschließen, intern geht es nicht. Man braucht 
auf jeden Fall externe Widerstände von 50 Ohm. Pullup/down gibt es da 
nicht, wie Falk schon gesagt hat. Das ist der Sinn der LVDS Leitung, 
dass beide auf sonst welchem Niveau hängen können, Hauptsache am Ende 
kommt die notwendige Differenz um das Signal als Low oder High zu 
erkennen.

von Falk B. (falk)


Lesenswert?

@ Artur Funk (Gast)

>LVDS Leitungen musst du abschließen, intern geht es nicht. Man braucht

Kommt auf das FPGA an. Spartan 3 hat doch DCI, da kannman intern 
terminieren.

>auf jeden Fall externe Widerstände von 50 Ohm. Pullup/down gibt es da

Eher 100 Ohm.

MFG
Falk

von Artur Funk (Gast)


Lesenswert?

@  Falk:
Ok wenn man Ein- und Ausgänge abschließen möchte, dann sicherlich 100 
Ohm, aber reicht es nicht, wenn man z.B. auf der PCB Trace nur Eingang 
abschließt, dass man es mit 50 Ohm macht? Sorry, hab da nicht so die 
100%ge Gewissheit.

von Falk B. (falk)


Lesenswert?

@ Artur Funk (Gast)

>Ok wenn man Ein- und Ausgänge abschließen möchte, dann sicherlich 100
>Ohm, aber reicht es nicht, wenn man z.B. auf der PCB Trace nur Eingang
>abschließt, dass man es mit 50 Ohm macht? Sorry, hab da nicht so die

Bei LVDS werden nur die Eingänge mit 100 Ohm differentiell terminiert. 
Eine Ausnahme ist BLVDS (BUS-LVDS), das ist aber selten und FPGAs können 
das AFAIK nicht (ohne weiteres).

MFG
Falk

von Artur Funk (Gast)


Lesenswert?

Alles klar! Anscheinend hatte ich die falschen Infos im Kopf. Danke dir 
Falk, gut zu wissen :)

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.