Forum: FPGA, VHDL & Co. X und Z Zustand


von Christian (Gast)


Lesenswert?

Hallo zusammen
Wie kann ich den X oder Z Zustand mit Verilog Programmieren?
MfG
Christian

von Falk B. (falk)


Lesenswert?

@ Christian (Gast)

>Wie kann ich den X oder Z Zustand mit Verilog Programmieren?

Durch einfache Zuweisung. Allerdings ist nur Z synthetisierbar, X und Z 
simulierbar.

MFG
Falk

von Christian (Gast)


Lesenswert?

@ Falk
Danke für die schnelle Antwort
reg [7:0] dec_data;
dec_data[3] = Z;
Das geht nicht :Verilog HDL error at dec.v(52): object "Z" is not 
declared.

Gibt es ein bestimmtes Synthax um Z oder x zu Schreiben?
Gruß
Christian

von Christian (Gast)


Lesenswert?

Ich hab die Lösung gefunden:
reg [7:0] dec_data;
dec_data[3] = 1'bZ;//statt z 1'bz

ciao
Christian

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.