Forum: FPGA, VHDL & Co. Zugriff auf BRAM lesen und schreiben


von Eddy H. (Firma: student) (eddy_2007)


Lesenswert?

mein Spartan3 hat eine interne Memory BRAM vom 8KB wobei die ersten 
32Byte reserviert sind, der Rest kann benutzt werden..

wie kann ich mit daten von BRAM schreiben und sie von dort lesen und als 
signale zu mein VHDL design anlegen

danke für alle Art von Hilfe

von Falk B. (falk)


Lesenswert?

@ Eddy Hoffmann (Firma student) (eddy_2007)

>mein Spartan3 hat eine interne Memory BRAM vom 8KB wobei die ersten
>32Byte reserviert sind, der Rest kann benutzt werden..

Wer hat die wofür reserviert?

>wie kann ich mit daten von BRAM schreiben und sie von dort lesen und als
>signale zu mein VHDL design anlegen

Wo ist das Problem?

- Daten und Adresse anlegen, WE auf HIGH setzen, Daten werden 
geschrieben
- Adresse anlegen, Daten werden gelesen und erscheinen am Ausgang.

Das Ganze natürlich als synchroner Prozess mit Takt.

MfG
Falk

von Andreas S. (andreas) (Admin) Benutzerseite


Lesenswert?

Wie man das Block-RAM verwendet steht im XST User Guide: 
http://toolbox.xilinx.com/docsan/xilinx92/books/docs/xst/xst.pdf

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.