Forum: FPGA, VHDL & Co. Record auf "undefiniert" in Modelsim?


von stud23 (Gast)


Lesenswert?

Hallo!
weis jemand wie ich einen VHDL Record der aus verschiedenen Datentypen 
besteht in Modelsim als "undefiniert", also als rote Linie darstellen 
kann?

Ich modelliere dabei Ethernet-Pakete. Wenn keines der angeschossenen 
Geräte ein Paket senden soll senden sie einfach ein bestimmtes Paket in 
dem sämtliche Variablen einen bestimmeten Wert haben (was besseres ist 
mir nicht eingefallen). Der Übersicht halber soll dieses als z.B. eine 
rote Linie (so wie beim undefiniert Zustand einer std-logic-variable) 
oder ähnliches angezeigt werden....

jemand eine Idee?

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.