Forum: FPGA, VHDL & Co. cyclone ep1c6 Clock Signal


von Sascha K. (Gast)


Lesenswert?

Hallo
Ich hab 6 Module unter quartus II programmiert, und ich möchte sie 
synchronisieren.
kann mir bitte jemanden sagen, wie ich auf den internen Takt von cyclone 
ep1c6 zugreifen kann.
Gruß
Sascha

von Falk B. (falk)


Lesenswert?

@ Sascha K. (Gast)

>Ich hab 6 Module unter quartus II programmiert, und ich möchte sie
>synchronisieren.

Was für Module? Warum willst du sie synchronisieren?

>kann mir bitte jemanden sagen, wie ich auf den internen Takt von cyclone
>ep1c6 zugreifen kann.

Hat der einen internen Oszillator? Was willst du damit GENAU machen?

MfG
Falk

von Sascha K. (Gast)


Lesenswert?

@Falk

Die 6 Module sind abhängig von einander output vom 1 Modul ist input von 
2 Modul.

Das erste Modul hat einen seriellen input, es bekommt ein Bit jede 6 
mikrosekunde.
Ich muss eine genaue Abtastfrequenz bestimmen basirend auf diesen 
internen Oszillator um die Bitfolge zuerst zu speichern dann zu 
bearbeiten.
Gruß
Sascha

von Falk B. (falk)


Lesenswert?

@ Sascha K. (Gast)

>Die 6 Module sind abhängig von einander output vom 1 Modul ist input von
>2 Modul.

Schön und gut, aber um eine halbwegs sinnvolle Antwort zu geben muss du 
uns scho etwas mehr und detailierer sagen, das die Anordnung machen 
soll.

>Das erste Modul hat einen seriellen input, es bekommt ein Bit jede 6
>mikrosekunde.

Was für ein Protokoll/Standard ist das? RS232?

>Ich muss eine genaue Abtastfrequenz bestimmen basirend auf diesen
>internen Oszillator um die Bitfolge zuerst zu speichern dann zu
>bearbeiten.

Also eine Takt- und Datenrückgewinnung, ähnlich RS232 oder USB.
Dazu sollte man einen stabilen Takt von einem Quarz nehmen. Interne 
RC-Oszillatoren sind ziemlich ungenau und nur sehr wenig kurzzeitstabil.

MFG
Falk

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.