Forum: FPGA, VHDL & Co. Xilinx XC9500 Macrocell Power Mode setzen


von ARM-Fan (Gast)


Lesenswert?

Hi zusammen!

Kann mir jemand mal spontan sagen, wie ich den "power mode"
für Makrozellen innerhalb eines XC9572 im ISE individuell
konfigurieren kann? Habe bislang nur den globalen Schalter
in den Fitter Einstellungen gefunden. Der bringt mich aber
nicht weiter, weil mein Design nicht mehr funktioniert, wenn
ich komplett auf low-power schalte.

Danke und Gruß, Frank

von Falk B. (falk)


Lesenswert?

@ ARM-Fan (Gast)

>Kann mir jemand mal spontan sagen, wie ich den "power mode"
>für Makrozellen innerhalb eines XC9572 im ISE individuell
>konfigurieren kann?

Über Constraints im UCF-Dateien. Syntax lässt sich fix in der Hilfe 
finden bzw. im Constraints Guide.

MFG
Falk

von ARM-Fan (Gast)


Lesenswert?

Danke vielmals! Das wars.
Das Constraint heißt "PWR_MODE".

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.