Forum: FPGA, VHDL & Co. Function in VHDL


von neuling (Gast)


Lesenswert?

Hallo,

in Verilog gibt es Möglichkeiten Funktionen zu schreiben. z.B.
1
function [1:0] beispiel;
2
input [1:0] a1;
3
input [1:0] a2;
4
input b;
5
begin
6
  if b
7
     beispiel = a1;
8
  else 
9
     beispiel = a2;
10
end
11
endfunction

Kann man sowas in VHDL verwenden?

von neuling (Gast)


Lesenswert?

Schon erledigt... :)

von Daniel N. (Gast)


Lesenswert?

Wie lautet denn die Antwort?

von neuling (Gast)


Lesenswert?


Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.