Forum: FPGA, VHDL & Co. Probleme mit Xilinix ISE und Modelsim


von lex (Gast)


Lesenswert?

hi!

Ich hab folgendes Problem. Ich erstelle eine Testbench in Xilinx. DAs 
funktioniert noh. Will ich die TEstbench aber simulieren werden die 
Signale im Modelsim alle als undefiniert angezeigt.

Daraus resultiert das ich diese Warning im Modelsim bekommen:

There is an 'U'|'X'|'W'|'Z''-' in an arithmetic operand, the result will 
be 'X'(es).
Time: 0 ps Iteration: 0 Instance: /vga_timingcreator

Weiß jemand wie ich die Signale simulieren kann?

Danke

von foo (Gast)


Lesenswert?

Liegt wahrscheinlich dran, dass du Signale nicht
initialisierst. Zeig einfach deinen Code, am besten
nen möglichst kleinen, jedoch testbaren Abschnitt
der das Problem demonstriert.

Bitte melde dich an um einen Beitrag zu schreiben. Anmeldung ist kostenlos und dauert nur eine Minute.
Bestehender Account
Schon ein Account bei Google/GoogleMail? Keine Anmeldung erforderlich!
Mit Google-Account einloggen
Noch kein Account? Hier anmelden.