library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; entity Levelshifter is port( SDA_3V_in: in std_logic; SDA_3V_out: out std_logic; SDA_5V_in: in std_logic; SDA_5V_out: out std_logic ); end Levelshifter; architecture behavioral of Levelshifter is begin SDA_5V_out <= '0' when SDA_3V_in = '0' else 'Z'; SDA_3V_out <= '0' when SDA_5V_in = '0' else 'Z'; end behavioral;