entity function_test is port (P1: in std_logic_vector(0 to 5); --Eingang // Programmauswahltaster Wert_AD : in std_logic_vector(0 to 11); --Eingang // Digitalwerte des A/D Wandlers S4, S5 : in std_logic_vector(0 to 2); --Eingang // Vorwahlwerte Druck und Feuchte clock : in std_logic; --Eingänge // Systemtakt busyadc, show_temperature, reset_in : in std_logic; --Eingänge // Busysignal ADC, Temperaturumschaltung,Reset LED_OFF, LED_VAK, LED_DRUCK, LED_SPUEL, LED_AUTO, LED_PRUEF: out std_logic; --Ausgänge // LED Steuerung Zustand : out std_logic_vector(3 downto 0); --Ausgänge Zustandsanzeige(Debugging und Inbetriebnahme) run_out: out bit; --Ausgang // Prüfsignal/indikator, zeigt an, dass Prozess läuft clockad_out, switch, switch2, convst, rd, cs, V85, V96L, V97, Pumpe: out std_logic); --Ausgänge // A/D Takt, Umschaltsignale Druck,Feuchte,Temperatur, Steuersignale A/D Wandler, Ventilsteuerung end function_test; AD: process (clock,reset_in,state_ADC,Wert_Feuchte,Wert_Druck) begin -- Initialisierung --Zustand <= "0000"; --Wert_Druck <= "000000000000"; --Wert_Feuchte <= "000000000000"; --run_out <= '0'; --delay <= 0; --delay2 <= 5; --timeout <= 30; --timeout2 <= 30; --timeout3 <= 30; --timeout4 <= 30; --Taktausgabe für A/D Wandler clockad_out <= clock; --Reset_IN // Low active // hardwaregesteurt durch Spannungswächter auf Platine if reset_in='0' then state_ADC <= 1; convst <='1'; rd <='1'; cs <='1'; switch <='0'; elsif rising_edge(clock) then . . . .