library ieee; use ieee.std_logic_1164.all; entity comdot is constant FILE_COM : String :="example_com.txt"; constant FILE_DOT : String :="example_dot.txt"; end comdot; architecture behav of comdot is begin p_replace: process type charfile is file of character; file infile: charfile is in FILE_COM; file outfile: charfile is out FILE_DOT; variable curData: character; begin while not endfile(infile) loop read(infile,curData); if (curData = ',') then write(outfile,'.'); else write(outfile,curData); end if; end loop; assert false report "Conversion finished" severity failure; wait; end process p_replace; end behav;