temp : buffer std_logic_vector (0 to 11); Zustand : out bit_vector(3 downto 0); Signal Wert_Druck : std_logic_vector(0 to 11); Hauptprogramm: process (reset_in, Wert_Druck) begin if reset_in = '0' then temp <= "000000000000"; Zustand <= "0000"; end if; -- elsif rising_edge (clock) then temp <= Wert_Druck; if temp >= "010000000010" then Zustand <= "0001"; else Zustand <= "0010"; end if; -- end if; end process Hauptprogramm;