Xst:1530 - You are using an evaluation version of Xilinx Software. In 6 WARNING:Xst:753 - "C:/Ruder/Code/SPI/spiADC4/spiADC.vhd" line 198: Unconnected output port 'clk1s' of component 'count'. WARNING:Xst:753 - "C:/Ruder/Code/SPI/spiADC4/spiADC.vhd" line 213: Unconnected output port 'CLKIN_IBUFG_OUT' of component 'dcm1'. WARNING:Xst:753 - "C:/Ruder/Code/SPI/spiADC4/spiADC.vhd" line 213: Unconnected output port 'CLK0_OUT' of component 'dcm1'. WARNING:Xst:819 - "C:/Ruder/Code/SPI/spiADC4/spiADC.vhd" line 230: The following signals are missing in the process sensitivity list: WARNING:Xst:819 - "C:/Ruder/Code/SPI/spiADC4/spiADC.vhd" line 223: The following signals are missing in the process sensitivity list: WARNING:Xst:819 - "C:/Ruder/Code/SPI/spiADC4/display.vhd" line 44: The following signals are missing in the process sensitivity list: WARNING:Xst:1778 - Inout is assigned but never used. WARNING:Xst:1305 - Output is never assigned. Tied to value 0. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:1778 - Inout is assigned but never used. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 1. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 1. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 00000000. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 0. WARNING:Xst:646 - Signal > is assigned but never used. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 0. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 0. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 0. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 1. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 11. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 00000001. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:653 - Signal is used but never assigned. Tied to value 1. WARNING:Xst:1780 - Signal is never used or assigned. WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:737 - Found 1-bit latch for signal . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1426 - The value init of the FF/Latch ss_in_pos hinder the constant cleaning in the block spi_control_sm. WARNING:Xst:1426 - The value init of the FF/Latch ss_in_neg hinder the constant cleaning in the block spi_control_sm. WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 1 in block . WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . WARNING:Xst:1895 - Due to other FF/Latch trimming, FF/Latch (without init value) has a constant value of 0 in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:2041 - Unit SPIADC: 1 internal tristate is replaced by logic (pull-up yes): N34. WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Xst:1291 - FF/Latch is unconnected in block . WARNING:Par:331 - You are using an evaluation version of Xilinx Software. In 6 days, this program will not operate. For WARNING:Route:447 - CLK Net:sck_1 may have excessive skew because WARNING:HDLParsers:3215 - Unit work/glbl is now defined in a different file: was C:/Ruder/Code/SPI/spiADC4/netgen/par/SPIADC_timesim.v, now is C:/Programme/Embedded/XILINX/ISE/verilog/src/glbl.v