library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; package bla_bla is ... type PART_IF_TYPE is record cs_n : std_logic; ras_n : std_logic; cas_n : std_logic; we_n : std_logic; dqmb : std_logic_vector(7 downto 0); ct : integer range 0 to 10; end record PART_IF_TYPE; type PART_IF_ARRAY_TYPE is array (SDR_CMDS_TYPE) of PART_IF_TYPE; constant COMMAND : PART_IF_ARRAY_TYPE := -- command cs_n ras_qn cas_qn we_qn dqmb ct (NOP => ( '1', '1', '1', '1', x"00", 0 ), MODE => ( '0', '0', '0', '0', x"00", TMRD-1 ), EXT_MODE => ( '0', '0', '0', '0', x"00", TMRD-1 ), ACTIVE => ( '0', '0', '1', '1', x"00", TRCD-1 ), PRECHARGE => ( '0', '0', '1', '0', x"00", TRP-1 ), BURST_RD => ( '0', '1', '0', '1', x"00", 0 ), BURST_WR => ( '0', '1', '0', '0', x"00", 0 ), REFRESH => ( '0', '0', '0', '1', x"00", TRFC-1 ), WAIT_WR => ( '1', '1', '1', '1', x"00", TWR+TRP-1 ) ); ... ------------------------------------------------------------------------------------------------------------- -- Hauptprogramm: signal sdr_if_q : PART_IF_TYPE; ... sdr_if_q <= COMMAND(NOP);