Release 9.1i - xst J.30 Copyright (c) 1995-2007 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to ./xst/projnav.tmp CPU : 0.00 / 0.14 s | Elapsed : 0.00 / 0.00 s --> Parameter xsthdpdir set to ./xst CPU : 0.00 / 0.14 s | Elapsed : 0.00 / 0.00 s --> Reading design: cpu.prj TABLE OF CONTENTS 1) Synthesis Options Summary 2) HDL Compilation 3) Design Hierarchy Analysis 4) HDL Analysis 5) HDL Synthesis 5.1) HDL Synthesis Report 6) Advanced HDL Synthesis 6.1) Advanced HDL Synthesis Report 7) Low Level Synthesis 8) Partition Report 9) Final Report 9.1) Device utilization summary 9.2) Partition Resource Summary 9.3) TIMING REPORT ========================================================================= * Synthesis Options Summary * ========================================================================= ---- Source Parameters Input File Name : "cpu.prj" Input Format : mixed Ignore Synthesis Constraint File : NO ---- Target Parameters Output File Name : "cpu" Output Format : NGC Target Device : xc3s500e-5-cp132 ---- Source Options Top Module Name : cpu Automatic FSM Extraction : YES FSM Encoding Algorithm : Auto Safe Implementation : No FSM Style : lut RAM Extraction : Yes RAM Style : Auto ROM Extraction : Yes Mux Style : Auto Decoder Extraction : YES Priority Encoder Extraction : YES Shift Register Extraction : YES Logical Shifter Extraction : YES XOR Collapsing : YES ROM Style : Auto Mux Extraction : YES Resource Sharing : YES Asynchronous To Synchronous : NO Multiplier Style : auto Automatic Register Balancing : No ---- Target Options Add IO Buffers : YES Global Maximum Fanout : 500 Add Generic Clock Buffer(BUFG) : 24 Register Duplication : YES Slice Packing : YES Optimize Instantiated Primitives : NO Use Clock Enable : Yes Use Synchronous Set : Yes Use Synchronous Reset : Yes Pack IO Registers into IOBs : auto Equivalent register Removal : YES ---- General Options Optimization Goal : Speed Optimization Effort : 1 Library Search Order : cpu.lso Keep Hierarchy : NO RTL Output : Yes Global Optimization : AllClockNets Read Cores : YES Write Timing Constraints : NO Cross Clock Analysis : NO Hierarchy Separator : / Bus Delimiter : <> Case Specifier : maintain Slice Utilization Ratio : 100 BRAM Utilization Ratio : 100 Verilog 2001 : YES Auto BRAM Packing : NO Slice Utilization Ratio Delta : 5 ========================================================================= ========================================================================= * HDL Compilation * ========================================================================= Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/config.vhd" in Library work. Package compiled. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_alu_8.vhd" in Library work. Architecture rtl of Entity vector_alu_8 is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/datatypes.vhd" in Library work. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/multiplexer4.vhd" in Library work. Architecture rtl of Entity multiplexer4 is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_register.vhd" in Library work. Architecture rtl of Entity vector_register is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_alu_32.vhd" in Library work. Architecture rtl of Entity vector_alu_32 is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/multiplexer2.vhd" in Library work. Architecture rtl of Entity multiplexer2 is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/selectunit.vhd" in Library work. Architecture rtl of Entity selectunit is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/shuffle.vhd" in Library work. Architecture rtl of Entity shuffle is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_slice.vhd" in Library work. Architecture rtl of Entity vector_slice is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/flag.vhd" in Library work. Architecture rtl of Entity flag is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/demultiplexer1x4.vhd" in Library work. Architecture rtl of Entity demultiplexer1x4 is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/dataregister.vhd" in Library work. Architecture rtl of Entity dataregister is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/instructioncounter.vhd" in Library work. Architecture rtl of Entity instructioncounter is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/ram.vhd" in Library work. Architecture rtl of Entity ram is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/rs232.vhd" in Library work. Architecture behavioral of Entity rs232 is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/debugger.vhd" in Library work. Architecture rtl of Entity debugger is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" in Library work. Architecture rtl of Entity controlunit is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/memoryinterface.vhd" in Library work. Architecture dummy of Entity memoryinterface is up to date. Architecture rtl of Entity memoryinterface is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/aluinputgroup.vhd" in Library work. Architecture rtl of Entity aluinputgroup is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/alu.vhd" in Library work. Architecture rtl of Entity alu is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/addressgroup.vhd" in Library work. Architecture rtl of Entity addressgroup is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/registergroup.vhd" in Library work. Architecture rtl of Entity registergroup is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/flaggroup.vhd" in Library work. Architecture rtl of Entity flaggroup is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_controlunit.vhd" in Library work. Architecture rtl of Entity vector_controlunit is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_executionunit.vhd" in Library work. Architecture rtl of Entity vector_executionunit is up to date. Compiling vhdl file "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/cpu.vhd" in Library work. Architecture rtl of Entity cpu is up to date. ========================================================================= * Design Hierarchy Analysis * ========================================================================= Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ) with generics. DATABITS = 8 STARTBITS = 1 STOPBITS = 1 Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ) with generics. w = 32 Analyzing hierarchy for entity in library (architecture ) with generics. w = 32 Analyzing hierarchy for entity in library (architecture ) with generics. w = 4 Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ) with generics. w = 1 Analyzing hierarchy for entity in library (architecture ) with generics. w = 8 Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ) with generics. slicenr = 7 Analyzing hierarchy for entity in library (architecture ) with generics. slicenr = 6 Analyzing hierarchy for entity in library (architecture ) with generics. slicenr = 5 Analyzing hierarchy for entity in library (architecture ) with generics. slicenr = 4 Analyzing hierarchy for entity in library (architecture ) with generics. slicenr = 3 Analyzing hierarchy for entity in library (architecture ) with generics. slicenr = 2 Analyzing hierarchy for entity in library (architecture ) with generics. slicenr = 1 Analyzing hierarchy for entity in library (architecture ) with generics. slicenr = 0 Analyzing hierarchy for entity in library (architecture ) with generics. w = 32 Analyzing hierarchy for entity in library (architecture ) with generics. n = 8 slicenr = 7 Analyzing hierarchy for entity in library (architecture ). Analyzing hierarchy for entity in library (architecture ) with generics. n = 8 slicenr = 6 Analyzing hierarchy for entity in library (architecture ) with generics. n = 8 slicenr = 5 Analyzing hierarchy for entity in library (architecture ) with generics. n = 8 slicenr = 4 Analyzing hierarchy for entity in library (architecture ) with generics. w = 32 Analyzing hierarchy for entity in library (architecture ) with generics. n = 8 slicenr = 3 Analyzing hierarchy for entity in library (architecture ) with generics. w = 32 Analyzing hierarchy for entity in library (architecture ) with generics. n = 8 slicenr = 2 Analyzing hierarchy for entity in library (architecture ) with generics. n = 8 slicenr = 1 Analyzing hierarchy for entity in library (architecture ) with generics. n = 8 slicenr = 0 Analyzing hierarchy for entity in library (architecture ). ========================================================================= * HDL Analysis * ========================================================================= Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). INFO:Xst:1561 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/debugger.vhd" line 206: Mux is complete : default of case is discarded Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). STOPBITS = 1 DATABITS = 8 STARTBITS = 1 Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 182: report: HALT INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 231: report: LD INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 278: report: VLD INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 308: report: ST INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 338: report: VST INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 355: report: MOV R(T), S INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 370: report: MOV D, V(T) INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 379: report: NOP INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 385: report: JMP, JAL INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 394: report: JCC INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 407: report: ALU COMMANDS INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 416: report: SET/RESET FLAGS INFO:Xst:1749 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd" line 434: report: halted Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). WARNING:Xst:819 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/memoryinterface.vhd" line 126: The following signals are missing in the process sensitivity list: counter. Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). WARNING:Xst:790 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/ram.vhd" line 94: Index value(s) does not match array range, simulation mismatch. INFO:Xst:1607 - Contents of array may be accessed with an index that does not cover the full array size. WARNING:Xst:790 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/ram.vhd" line 97: Index value(s) does not match array range, simulation mismatch. INFO:Xst:1607 - Contents of array may be accessed with an index that does not cover the full array size. Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). w = 32 Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). w = 32 Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). w = 4 Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). WARNING:Xst:753 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/registergroup.vhd" line 85: Unconnected output port 'data_out_00' of component 'demultiplexer1x4'. Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). w = 1 Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). INFO:Xst:1561 - "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_controlunit.vhd" line 125: Mux is complete : default of case is discarded Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). w = 8 Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). slicenr = 7 Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). n = 8 slicenr = 7 INFO:Xst:1433 - Contents of array may be accessed with an index that exceeds the array size. This could cause simulation mismatch. Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing Entity in library (Architecture ). Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). slicenr = 6 Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). n = 8 slicenr = 6 INFO:Xst:1433 - Contents of array may be accessed with an index that exceeds the array size. This could cause simulation mismatch. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). slicenr = 5 Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). n = 8 slicenr = 5 INFO:Xst:1433 - Contents of array may be accessed with an index that exceeds the array size. This could cause simulation mismatch. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). slicenr = 4 Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). n = 8 slicenr = 4 INFO:Xst:1433 - Contents of array may be accessed with an index that exceeds the array size. This could cause simulation mismatch. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). slicenr = 3 Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). n = 8 slicenr = 3 INFO:Xst:1433 - Contents of array may be accessed with an index that exceeds the array size. This could cause simulation mismatch. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). slicenr = 2 Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). n = 8 slicenr = 2 INFO:Xst:1433 - Contents of array may be accessed with an index that exceeds the array size. This could cause simulation mismatch. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). slicenr = 1 Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). n = 8 slicenr = 1 INFO:Xst:1433 - Contents of array may be accessed with an index that exceeds the array size. This could cause simulation mismatch. Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). slicenr = 0 Entity analyzed. Unit generated. Analyzing generic Entity in library (Architecture ). n = 8 slicenr = 0 INFO:Xst:1433 - Contents of array may be accessed with an index that exceeds the array size. This could cause simulation mismatch. Entity analyzed. Unit generated. ========================================================================= * HDL Synthesis * ========================================================================= Performing bidirectional port resolution... Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/controlunit.vhd". WARNING:Xst:647 - Input > is never used. WARNING:Xst:647 - Input > is never used. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 30 | | Transitions | 63 | | Inputs | 17 | | Outputs | 37 | | Clock | clk (rising_edge) | | Reset | reset_cpu (positive) | | Reset type | synchronous | | Reset State | reset | | Power Up State | halt | | Encoding | automatic | | Implementation | LUT | ----------------------------------------------------------------------- Found 1-bit xor2 for signal created at line 395. Found 1-bit xor2 for signal created at line 395. Found 30-bit 4-to-1 multiplexer for signal created at line 176. Summary: inferred 1 Finite State Machine(s). inferred 30 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_controlunit.vhd". WARNING:Xst:647 - Input > is never used. WARNING:Xst:647 - Input > is never used. WARNING:Xst:647 - Input > is never used. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 10 | | Transitions | 25 | | Inputs | 13 | | Outputs | 15 | | Clock | clk (rising_edge) | | Power Up State | wfi | | Encoding | automatic | | Implementation | LUT | ----------------------------------------------------------------------- Summary: inferred 1 Finite State Machine(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/rs232.vhd". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 11 | | Transitions | 22 | | Inputs | 3 | | Outputs | 12 | | Clock | CLK_50MHZ (rising_edge) | | Power Up State | idle | | Encoding | automatic | | Implementation | LUT | ----------------------------------------------------------------------- Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 1-bit register for signal . Found 8-bit register for signal . Found 11-bit up counter for signal . Found 1-bit register for signal . Found 4-bit up counter for signal . Found 5-bit comparator less for signal created at line 241. Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 10-bit register for signal . Found 5-bit comparator greatequal for signal created at line 241. Found 10-bit up counter for signal . Found 11-bit up counter for signal . Summary: inferred 1 Finite State Machine(s). inferred 4 Counter(s). inferred 36 D-type flip-flop(s). inferred 2 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/ram.vhd". WARNING:Xst:647 - Input > is never used. Found 65x32-bit single-port RAM for signal . Found 32-bit register for signal . Summary: inferred 1 RAM(s). inferred 32 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/dataregister.vhd". Found 32-bit register for signal . Summary: inferred 32 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/multiplexer4.vhd". Found 32-bit 4-to-1 multiplexer for signal . Summary: inferred 32 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/multiplexer2.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/multiplexer2.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/instructioncounter.vhd". WARNING:Xst - Property "use_dsp48" is not applicable for this technology. Found 32-bit register for signal . Found 32-bit adder for signal . Found 1-bit xor2 for signal created at line 33. Summary: inferred 32 D-type flip-flop(s). inferred 1 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/demultiplexer1x4.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/flag.vhd". Found 1-bit register for signal . Summary: inferred 1 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/multiplexer4.vhd". Found 1-bit 4-to-1 multiplexer for signal >. Summary: inferred 1 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/multiplexer2.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/selectunit.vhd". Found 32-bit 8-to-1 multiplexer for signal . Found 33-bit comparator less for signal created at line 21. Summary: inferred 1 Comparator(s). inferred 32 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/shuffle.vhd". Found 64-bit 4-to-1 multiplexer for signal <$varindex0000> created at line 77. Found 64-bit 4-to-1 multiplexer for signal <$varindex0001> created at line 82. Found 64-bit 4-to-1 multiplexer for signal <$varindex0002> created at line 77. Found 64-bit 4-to-1 multiplexer for signal <$varindex0003> created at line 82. Found 64-bit 4-to-1 multiplexer for signal <$varindex0004> created at line 77. Found 64-bit 4-to-1 multiplexer for signal <$varindex0005> created at line 82. Found 64-bit 4-to-1 multiplexer for signal <$varindex0006> created at line 77. Found 64-bit 4-to-1 multiplexer for signal <$varindex0007> created at line 82. Found 8-bit 4-to-1 multiplexer for signal <$varindex0008> created at line 80. Found 8-bit 4-to-1 multiplexer for signal <$varindex0009> created at line 85. Found 8-bit 4-to-1 multiplexer for signal <$varindex0010> created at line 80. Found 8-bit 4-to-1 multiplexer for signal <$varindex0011> created at line 85. Found 8-bit 4-to-1 multiplexer for signal <$varindex0012> created at line 80. Found 8-bit 4-to-1 multiplexer for signal <$varindex0013> created at line 85. Found 8-bit 4-to-1 multiplexer for signal <$varindex0014> created at line 80. Found 8-bit 4-to-1 multiplexer for signal <$varindex0015> created at line 85. Found 16-bit 4-to-1 multiplexer for signal <$varindex0016> created at line 79. Found 16-bit 4-to-1 multiplexer for signal <$varindex0017> created at line 84. Found 16-bit 4-to-1 multiplexer for signal <$varindex0018> created at line 79. Found 16-bit 4-to-1 multiplexer for signal <$varindex0019> created at line 84. Found 16-bit 4-to-1 multiplexer for signal <$varindex0020> created at line 79. Found 16-bit 4-to-1 multiplexer for signal <$varindex0021> created at line 84. Found 16-bit 4-to-1 multiplexer for signal <$varindex0022> created at line 79. Found 16-bit 4-to-1 multiplexer for signal <$varindex0023> created at line 84. Found 32-bit 4-to-1 multiplexer for signal <$varindex0024> created at line 78. Found 32-bit 4-to-1 multiplexer for signal <$varindex0025> created at line 83. Found 32-bit 4-to-1 multiplexer for signal <$varindex0026> created at line 78. Found 32-bit 4-to-1 multiplexer for signal <$varindex0027> created at line 83. Found 32-bit 4-to-1 multiplexer for signal <$varindex0028> created at line 78. Found 32-bit 4-to-1 multiplexer for signal <$varindex0029> created at line 83. Found 32-bit 4-to-1 multiplexer for signal <$varindex0030> created at line 78. Found 32-bit 4-to-1 multiplexer for signal <$varindex0031> created at line 83. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Found 1-bit 4-to-1 multiplexer for signal created at line 98. Summary: inferred 1216 Multiplexer(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_register.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 8x32-bit dual-port RAM for signal . Found 8x32-bit dual-port RAM for signal . Found 9-bit comparator less for signal created at line 47. Found 9-bit comparator less for signal created at line 48. Found 5-bit comparator less for signal created at line 49. Summary: inferred 2 RAM(s). inferred 3 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_alu_8.vhd". WARNING:Xst - Property "use_dsp48" is not applicable for this technology. WARNING:Xst - Property "use_dsp48" is not applicable for this technology. WARNING:Xst - Property "use_dsp48" is not applicable for this technology. WARNING:Xst - Property "use_dsp48" is not applicable for this technology. Found 8-bit xor2 for signal created at line 97. Found 9-bit adder for signal created at line 128. Found 9-bit subtractor for signal created at line 131. Found 9-bit adder for signal created at line 85. Found 9-bit subtractor for signal created at line 88. Summary: inferred 4 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_register.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 8x32-bit dual-port RAM for signal . Found 8x32-bit dual-port RAM for signal . Found 9-bit comparator less for signal created at line 47. Found 9-bit comparator less for signal created at line 48. Found 5-bit comparator less for signal created at line 49. Summary: inferred 2 RAM(s). inferred 3 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_register.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 8x32-bit dual-port RAM for signal . Found 8x32-bit dual-port RAM for signal . Found 9-bit comparator less for signal created at line 47. Found 9-bit comparator less for signal created at line 48. Found 5-bit comparator less for signal created at line 49. Summary: inferred 2 RAM(s). inferred 3 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_register.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 8x32-bit dual-port RAM for signal . Found 8x32-bit dual-port RAM for signal . Found 9-bit comparator less for signal created at line 47. Found 9-bit comparator less for signal created at line 48. Found 5-bit comparator less for signal created at line 49. Summary: inferred 2 RAM(s). inferred 3 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_register.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 8x32-bit dual-port RAM for signal . Found 8x32-bit dual-port RAM for signal . Found 9-bit comparator less for signal created at line 47. Found 9-bit comparator less for signal created at line 48. Found 5-bit comparator less for signal created at line 49. Summary: inferred 2 RAM(s). inferred 3 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_register.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 8x32-bit dual-port RAM for signal . Found 8x32-bit dual-port RAM for signal . Found 9-bit comparator less for signal created at line 47. Found 9-bit comparator less for signal created at line 48. Found 5-bit comparator less for signal created at line 49. Summary: inferred 2 RAM(s). inferred 3 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_register.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 8x32-bit dual-port RAM for signal . Found 8x32-bit dual-port RAM for signal . Found 9-bit comparator less for signal created at line 47. Found 9-bit comparator less for signal created at line 48. Found 5-bit comparator less for signal created at line 49. Summary: inferred 2 RAM(s). inferred 3 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_register.vhd". WARNING:Xst:646 - Signal > is assigned but never used. Found 8x32-bit dual-port RAM for signal . Found 8x32-bit dual-port RAM for signal . Found 9-bit comparator less for signal created at line 47. Found 9-bit comparator less for signal created at line 48. Found 5-bit comparator less for signal created at line 49. Summary: inferred 2 RAM(s). inferred 3 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/debugger.vhd". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 17 | | Transitions | 42 | | Inputs | 20 | | Outputs | 18 | | Clock | clk_in (rising_edge) | | Power Up State | waiting | | Encoding | automatic | | Implementation | LUT | ----------------------------------------------------------------------- WARNING:Xst:737 - Found 2-bit latch for signal . WARNING:Xst - Property "use_dsp48" is not applicable for this technology. Found 2-bit adder for signal created at line 227. Summary: inferred 1 Finite State Machine(s). inferred 1 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/memoryinterface.vhd". WARNING:Xst:646 - Signal is assigned but never used. WARNING:Xst:646 - Signal is assigned but never used. Found finite state machine for signal . ----------------------------------------------------------------------- | States | 12 | | Transitions | 21 | | Inputs | 6 | | Outputs | 16 | | Clock | clk (rising_edge) | | Power Up State | waiting | | Encoding | automatic | | Implementation | LUT | ----------------------------------------------------------------------- WARNING:Xst - Property "use_dsp48" is not applicable for this technology. Found 32-bit xor2 for signal . Found 32-bit adder for signal . Found 32-bit up counter for signal . Found 33-bit comparator less for signal created at line 78. Found 256-bit register for signal . INFO:Xst:738 - HDL ADVISOR - 256 flip-flops were inferred for signal . You may be trying to describe a RAM in a way that is incompatible with block and distributed RAM resources available on Xilinx devices, or with a specific template that is not supported. Please review the Xilinx resources documentation and the XST user manual for coding guidelines. Taking advantage of RAM resources will lead to improved device usage and reduced synthesis time. Summary: inferred 1 Finite State Machine(s). inferred 1 Counter(s). inferred 256 D-type flip-flop(s). inferred 1 Adder/Subtractor(s). inferred 1 Comparator(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/aluinputgroup.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/alu.vhd". WARNING:Xst - Property "use_dsp48" is not applicable for this technology. WARNING:Xst - Property "use_dsp48" is not applicable for this technology. Found 32-bit xor2 for signal created at line 63. Found 33-bit adder carry in for signal created at line 51. Found 33-bit subtractor for signal created at line 54. Found 33-bit subtractor for signal created at line 54. Summary: inferred 3 Adder/Subtractor(s). Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/addressgroup.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/registergroup.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/flaggroup.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/units/vector_alu_32.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_slice.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_slice.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_slice.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_slice.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_slice.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_slice.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_slice.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_slice.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/groups/vector_executionunit.vhd". Unit synthesized. Synthesizing Unit . Related source file is "C:/Dokumente und Einstellungen/Harald/Desktop/Diplomarbeit/Code/Source/cpu.vhd". WARNING:Xst:647 - Input is never used. Unit synthesized. INFO:Xst:1767 - HDL ADVISOR - Resource sharing has identified that some arithmetic operations in this design can share the same physical resources for reduced device utilization. For improved clock frequency you may try to disable resource sharing. ========================================================================= HDL Synthesis Report Macro Statistics # RAMs : 17 65x32-bit single-port RAM : 1 8x32-bit dual-port RAM : 16 # Adders/Subtractors : 134 2-bit adder : 1 32-bit adder : 2 33-bit adder carry in : 1 33-bit subtractor : 2 9-bit adder : 64 9-bit subtractor : 64 # Counters : 5 10-bit up counter : 1 11-bit up counter : 2 32-bit up counter : 1 4-bit up counter : 1 # Registers : 21 1-bit register : 12 10-bit register : 1 32-bit register : 6 8-bit register : 2 # Latches : 1 2-bit latch : 1 # Comparators : 28 33-bit comparator less : 2 5-bit comparator greatequal : 1 5-bit comparator less : 9 9-bit comparator less : 16 # Multiplexers : 302 1-bit 4-to-1 multiplexer : 258 16-bit 4-to-1 multiplexer : 8 32-bit 4-to-1 multiplexer : 19 32-bit 8-to-1 multiplexer : 1 64-bit 4-to-1 multiplexer : 8 8-bit 4-to-1 multiplexer : 8 # Xors : 37 1-bit xor2 : 3 32-bit xor2 : 2 8-bit xor2 : 32 ========================================================================= ========================================================================= * Advanced HDL Synthesis * ========================================================================= Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. --------------------- State | Encoding --------------------- waiting | 0000 decode | 0001 r | 0011 w | 0010 done1 | 0101 done2 | 0100 vr1 | 0110 vr2 | 1100 vw1 | 0111 vw2 | 1111 vdone1 | 1101 vdone2 | 1110 --------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with sequential encoding. ----------------------- State | Encoding ----------------------- waiting | 00000 decode | 00001 clock | 00010 reset | 00011 flags | 00100 rega | 00101 regx | 00110 regy | 00111 regir | 01000 regic | 01001 busy8 | 01010 init8 | 01100 sending8 | 01101 busy32 | 01011 init32 | 01110 sending32 | 01111 inc32 | 10000 ----------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. ------------------- State | Encoding ------------------- idle | 0000 syn | 0001 b0 | 0011 b1 | 0010 b2 | 0110 b3 | 0111 b4 | 0101 b5 | 0100 b6 | 1100 b7 | 1101 valid | 1111 ------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with gray encoding. ------------------------- State | Encoding ------------------------- wfi | 0000 decode_wait | 0001 vmovrv | 0011 vmovrrn | 0010 vmovrnv | 0110 valu | 0111 vld | 0101 vtos | 0100 movrts | 1100 shuffle | 1101 ------------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with one-hot encoding. ----------------------------------------------- State | Encoding ----------------------------------------------- if1 | 000000000000000000000000000100 if2 | 000000000000000000000000001000 if3 | 000000000000000000000000010000 if4 | 000000000000000000000000100000 decode_wait | 000000000000000000000001000000 ld1 | 000000000000000000000010000000 ld2 | 000000000001000000000000000000 ld3 | 000000000010000000000000000000 vld1 | 000000000000000000000100000000 vld2 | 000000001000000000000000000000 vld3 | 000000010000000000000000000000 vld4 | 000000100000000000000000000000 st1 | 000000000000000000001000000000 st2 | 000001000000000000000000000000 st3 | 000010000000000000000000000000 vst1 | 000000000000000000010000000000 vst2 | 000100000000000000000000000000 vst3 | 001000000000000000000000000000 smv1 | 000000000000000000100000000000 smv2 | 010000000000000000000000000000 vms1 | 000000000000000001000000000000 vms2 | 100000000000000000000000000000 nop | 000000000000000010000000000000 jal | 000000000000000100000000000000 jcc | 000000000000001000000000000000 alu | 000000000000010000000000000000 flag | 000000000000100000000000000000 sync | 000000000100000000000000000000 halt | 000000000000000000000000000001 reset | 000000000000000000000000000010 ----------------------------------------------- Loading device for application Rf_Device from file '3s500e.nph' in environment C:\Xilinx91i. INFO:Xst:2691 - Unit : The RAM will be implemented as a BLOCK RAM, absorbing the following register(s): . ----------------------------------------------------------------------- | ram_type | Block | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 65-word x 32-bit | | | mode | write-first | | | clkA | connected to signal | rise | | enA | connected to signal | high | | weA | connected to signal | high | | addrA | connected to signal | | | diA | connected to signal | | | doA | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- INFO:Xst:2452 - Unit : The small RAM will be implemented on LUTs in order to maximize performance and save block RAM resources. If you want to force its implementation on block, use option/constraint ram_style. ----------------------------------------------------------------------- | ram_type | Distributed | | ----------------------------------------------------------------------- | Port A | | aspect ratio | 8-word x 32-bit | | | clkA | connected to signal | rise | | weA | connected to signal <_or0000> | high | | addrA | connected to signal | | | diA | connected to signal | | ----------------------------------------------------------------------- | Port B | | aspect ratio | 8-word x 32-bit | | | addrB | connected to signal | | | doB | connected to signal | | ----------------------------------------------------------------------- WARNING:Xst:2677 - Node of sequential type is unconnected in block . ========================================================================= Advanced HDL Synthesis Report Macro Statistics # FSMs : 5 # RAMs : 17 65x32-bit single-port block RAM : 1 8x32-bit dual-port distributed RAM : 16 # Adders/Subtractors : 133 2-bit adder : 1 32-bit adder : 2 33-bit adder carry in : 1 33-bit subtractor borrow in : 1 9-bit adder : 64 9-bit subtractor : 64 # Counters : 5 10-bit up counter : 1 11-bit up counter : 2 32-bit up counter : 1 4-bit up counter : 1 # Registers : 245 Flip-Flops : 245 # Latches : 1 2-bit latch : 1 # Comparators : 28 33-bit comparator less : 2 5-bit comparator greatequal : 1 5-bit comparator less : 9 9-bit comparator less : 16 # Multiplexers : 302 1-bit 4-to-1 multiplexer : 258 16-bit 4-to-1 multiplexer : 8 32-bit 4-to-1 multiplexer : 19 32-bit 8-to-1 multiplexer : 1 64-bit 4-to-1 multiplexer : 8 8-bit 4-to-1 multiplexer : 8 # Xors : 37 1-bit xor2 : 3 32-bit xor2 : 2 8-bit xor2 : 32 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= WARNING:Xst:2677 - Node of sequential type is unconnected in block . Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... Optimizing unit ... WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . WARNING:Xst:2677 - Node of sequential type is unconnected in block . Mapping all equations... Building and optimizing final netlist ... Found area constraint ratio of 100 (+ 5) on block cpu, actual ratio is 1. Final Macro Processing ... ========================================================================= Final Register Report Macro Statistics # Registers : 80 Flip-Flops : 80 ========================================================================= ========================================================================= * Partition Report * ========================================================================= Partition Implementation Status ------------------------------- No Partitions were found in this design. ------------------------------- ========================================================================= * Final Report * ========================================================================= Final Results RTL Top Level Output File Name : cpu.ngr Top Level Output File Name : cpu Output Format : NGC Optimization Goal : Speed Keep Hierarchy : NO Design Statistics # IOs : 4 Cell Usage : # BELS : 213 # GND : 1 # INV : 5 # LUT1 : 29 # LUT2 : 17 # LUT2_L : 3 # LUT3 : 14 # LUT3_D : 3 # LUT3_L : 2 # LUT4 : 58 # LUT4_D : 7 # LUT4_L : 6 # MUXCY : 29 # MUXF5 : 9 # VCC : 1 # XORCY : 29 # FlipFlops/Latches : 82 # FD : 11 # FDE : 21 # FDR : 37 # FDRE : 4 # FDS : 7 # LD : 2 # Clock Buffers : 1 # BUFGP : 1 # IO Buffers : 2 # IBUF : 1 # OBUF : 1 ========================================================================= Device utilization summary: --------------------------- Selected Device : 3s500ecp132-5 Number of Slices: 77 out of 4656 1% Number of Slice Flip Flops: 82 out of 9312 0% Number of 4 input LUTs: 144 out of 9312 1% Number of IOs: 4 Number of bonded IOBs: 3 out of 92 3% Number of GCLKs: 1 out of 24 4% --------------------------- Partition Resource Summary: --------------------------- No Partitions were found in this design. --------------------------- ========================================================================= TIMING REPORT NOTE: THESE TIMING NUMBERS ARE ONLY A SYNTHESIS ESTIMATE. FOR ACCURATE TIMING INFORMATION PLEASE REFER TO THE TRACE REPORT GENERATED AFTER PLACE-and-ROUTE. Clock Information: ------------------ -------------------------------------+-------------------------------------------+-------+ Clock Signal | Clock buffer(FF name) | Load | -------------------------------------+-------------------------------------------+-------+ clk | BUFGP | 80 | debugger_gen.debugger_impl/state_FFd1| NONE(debugger_gen.debugger_impl/counter_1)| 2 | -------------------------------------+-------------------------------------------+-------+ INFO:Xst:2169 - HDL ADVISOR - Some clock signals were not automatically buffered by XST with BUFG/BUFR resources. Please use the buffer_type constraint in order to insert these buffers to the clock signals to help prevent skew problems. Asynchronous Control Signals Information: ---------------------------------------- No asynchronous control signals found in this design Timing Summary: --------------- Speed Grade: -5 Minimum period: 4.920ns (Maximum Frequency: 203.273MHz) Minimum input arrival time before clock: 3.839ns Maximum output required time after clock: 4.040ns Maximum combinational path delay: No path found Timing Detail: -------------- All values displayed in nanoseconds (ns) ========================================================================= Timing constraint: Default period analysis for Clock 'clk' Clock period: 4.920ns (frequency: 203.273MHz) Total number of paths / destination ports: 1113 / 149 ------------------------------------------------------------------------- Delay: 4.920ns (Levels of Logic = 3) Source: debugger_gen.debugger_impl/state_FFd2 (FF) Destination: debugger_gen.debugger_impl/state_FFd5 (FF) Source Clock: clk rising Destination Clock: clk rising Data Path: debugger_gen.debugger_impl/state_FFd2 to debugger_gen.debugger_impl/state_FFd5 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDS:C->Q 13 0.514 0.905 debugger_gen.debugger_impl/state_FFd2 (debugger_gen.debugger_impl/state_FFd2) LUT3_L:I1->LO 1 0.612 0.130 debugger_gen.debugger_impl/state_FFd5-In4_SW0 (N169) LUT4:I2->O 2 0.612 0.383 debugger_gen.debugger_impl/state_FFd5-In4 (debugger_gen.debugger_impl/N6) LUT4:I3->O 1 0.612 0.357 debugger_gen.debugger_impl/state_FFd5-In26 (debugger_gen.debugger_impl/state_FFd5-In_map10) FDS:S 0.795 debugger_gen.debugger_impl/state_FFd5 ---------------------------------------- Total 4.920ns (3.145ns logic, 1.774ns route) (63.9% logic, 36.1% route) ========================================================================= Timing constraint: Default period analysis for Clock 'debugger_gen.debugger_impl/state_FFd1' Clock period: 2.324ns (frequency: 430.302MHz) Total number of paths / destination ports: 3 / 2 ------------------------------------------------------------------------- Delay: 2.324ns (Levels of Logic = 1) Source: debugger_gen.debugger_impl/counter_0 (LATCH) Destination: debugger_gen.debugger_impl/counter_0 (LATCH) Source Clock: debugger_gen.debugger_impl/state_FFd1 falling Destination Clock: debugger_gen.debugger_impl/state_FFd1 falling Data Path: debugger_gen.debugger_impl/counter_0 to debugger_gen.debugger_impl/counter_0 Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ LD:G->Q 4 0.588 0.499 debugger_gen.debugger_impl/counter_0 (debugger_gen.debugger_impl/counter_0) INV:I->O 1 0.612 0.357 debugger_gen.debugger_impl/Madd_counter_add0000_xor<0>11_INV_0 (debugger_gen.debugger_impl/counter_add0000<0>) LD:D 0.268 debugger_gen.debugger_impl/counter_0 ---------------------------------------- Total 2.324ns (1.468ns logic, 0.856ns route) (63.2% logic, 36.8% route) ========================================================================= Timing constraint: Default OFFSET IN BEFORE for Clock 'clk' Total number of paths / destination ports: 12 / 12 ------------------------------------------------------------------------- Offset: 3.839ns (Levels of Logic = 2) Source: rs232_rxd (PAD) Destination: debugger_gen.debugger_impl/rs232_impl/RX_DATA_RCVD (FF) Destination Clock: clk rising Data Path: rs232_rxd to debugger_gen.debugger_impl/rs232_impl/RX_DATA_RCVD Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ IBUF:I->O 12 1.106 0.969 rs232_rxd_IBUF (rs232_rxd_IBUF) LUT4:I0->O 1 0.612 0.357 debugger_gen.debugger_impl/rs232_impl/RX_DATA_RCVD_mux000153 (debugger_gen.debugger_impl/rs232_impl/RX_DATA_RCVD_mux0001_map16) FDS:S 0.795 debugger_gen.debugger_impl/rs232_impl/RX_DATA_RCVD ---------------------------------------- Total 3.839ns (2.513ns logic, 1.326ns route) (65.5% logic, 34.5% route) ========================================================================= Timing constraint: Default OFFSET OUT AFTER for Clock 'clk' Total number of paths / destination ports: 1 / 1 ------------------------------------------------------------------------- Offset: 4.040ns (Levels of Logic = 1) Source: debugger_gen.debugger_impl/rs232_impl/RS232_TXD (FF) Destination: rs232_txd (PAD) Source Clock: clk rising Data Path: debugger_gen.debugger_impl/rs232_impl/RS232_TXD to rs232_txd Gate Net Cell:in->out fanout Delay Delay Logical Name (Net Name) ---------------------------------------- ------------ FDE:C->Q 1 0.514 0.357 debugger_gen.debugger_impl/rs232_impl/RS232_TXD (debugger_gen.debugger_impl/rs232_impl/RS232_TXD) OBUF:I->O 3.169 rs232_txd_OBUF (rs232_txd) ---------------------------------------- Total 4.040ns (3.683ns logic, 0.357ns route) (91.2% logic, 8.8% route) ========================================================================= CPU : 57.69 / 57.84 s | Elapsed : 58.00 / 58.00 s --> Total memory usage is 239840 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 777 ( 0 filtered) Number of infos : 45 ( 0 filtered)