--************************************ --lauflicht --************************************ library ieee; use ieee.std_logic_1164.all; use work.all; library machxo2; use machxo2.components.all; entity licht is port( LED: out std_logic_vector(7 downto 0); ModeLeft: in std_logic --PulseLeft: in std_logic ); end entity licht; architecture behaviour of licht is signal LED_out: std_logic_vector(7 downto 0):="00000001"; signal PulseLeft: std_logic; signal ClkModeLeft: std_logic_vector(1 downto 0); signal ClkLeft: std_logic; begin zaehler: entity work.clkgen(behavior) port map(PulseLeft=>PulseLeft,ClkModeLeft=>ClkModeLeft,PulseRight=>'0',ClkModeRight=>"00",ClkLeft=>ClkLeft); --ClkLeft=>Y; Counter: process(ClkLeft,ModeLeft) is begin if ClkLeft'event AND ModeLeft ='1' then case LED_out is when "00000001" =>LED_out <="00000010"; when "00000010" =>LED_out <="00000100"; when "00000100" =>LED_out <="00001000"; when "00001000" =>LED_out <="00010000"; when "00010000" =>LED_out <="00100000"; when "00100000" =>LED_out <="01000000"; when "01000000" =>LED_out <="10000000"; when "10000000" =>LED_out <="00000001"; when others => null; end case; else null; end if; end process Counter; LED<=LED_out; end architecture behaviour;