library ieee; use ieee.std_logic_1164.all; use work.all; library machxo2; use machxo2.components.all; entity RES is port( RES: in std_logic; L_i: in std_logic_vector(3 downto 0); -- LED aus Zähler L_o1: out std_logic_vector(3 downto 0); -- Signal zurück zu Zähler L_o2: out std_logic_vector(3 downto 0) -- Signal ausgabe ); end entity RES; architecture behaviour of RES is begin reset:process(RES) begin if RES='1' then L_o1<="0000"; else L_o2<=L_i; end if; end process reset; end architecture behaviour;