---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 09.09.2020 13:39:42 -- Design Name: -- Module Name: tb_AudioInterface - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. --library UNISIM; --use UNISIM.VComponents.all; entity tb_AudioInterface is -- Port ( ); end tb_AudioInterface; architecture Behavioral of tb_AudioInterface is component AudioInterface is port ( sysclk_p : in std_logic; sysclk_n : in std_logic; DA_LRCK : OUT STD_LOGIC; DA_MCLK : OUT STD_LOGIC; DA_SDIN : OUT STD_LOGIC; DA_SCLK : OUT STD_LOGIC; AD_LRCK : OUT STD_LOGIC; AD_MCLK : OUT STD_LOGIC; AD_SDOUT : IN STD_LOGIC; AD_SCLK : OUT STD_LOGIC ); end component; signal s_sysclk_p : std_logic := '0'; signal s_sysclk_n : std_logic := '0'; signal s_DA_LRCK : std_logic; signal s_DA_MCLK : std_logic; signal s_DA_SDIN : std_logic := '0'; signal s_DA_SCLK : std_logic; signal s_AD_LRCK : std_logic; signal s_AD_MCLK : std_logic; signal s_AD_SDOUT : std_logic; signal s_AD_SCLK : std_logic; begin uut: AudioInterface port map ( sysclk_p => s_sysclk_p, sysclk_n => s_sysclk_n, DA_LRCK => s_DA_LRCK, DA_MCLK => s_DA_MCLK, DA_SDIN => s_DA_SDIN, DA_SCLK => s_DA_SCLK, AD_LRCK => s_AD_LRCK, AD_MCLK => s_AD_MCLK, AD_SDOUT => s_AD_SDOUT, AD_SCLK => s_AD_SCLK ); ck_proc : process -- Erzeugung 100 MHz Takt (T=10ns) begin s_sysclk_p <= '1'; s_sysclk_n <= '0'; loop wait for 5 ns; s_sysclk_p <= not s_sysclk_p; s_sysclk_n <= not s_sysclk_n; end loop; wait; end process ck_proc; s_AD_SDOUT <= --0. Datenpaket '0', -- entspricht 1 --'1' after 8709.309 ns,-- delta = 156 345 45 --'0' after 9196.809 ns, --'1' after 24343.854 ns, --'0' after 24831.354 ns, -- -- entspricht 0 von 1 auf 0 --> delta = 471309 '1' after 9180.618 ns,-- delta = 156 345 45 '0' after 9668.118 ns, '1' after 24815.163 ns, '0' after 25302.663 ns, -- -- 1. Datenpaket L&R 1 --****************** write on Left Channel *********************************** '0' after 32684.026 ns, -- Bit 23: MSB '0' after 33172.30725 ns, -- Bit 22: MSB-1 '0' after 33660.5885 ns, -- Bit 21: '0' after 34148.86975 ns, -- Bit 20 '0' after 34637.151 ns, -- Bit 19 '0' after 35125.43225 ns, -- Bit 18 '0' after 35613.7135 ns, -- Bit 17 '0' after 36101.99475 ns, -- Bit 16 '0' after 36590.276 ns, -- Bit 15 '0' after 37078.55725 ns, -- Bit 14 '0' after 37566.8385 ns, -- Bit 13 '0' after 38055.11975 ns, -- Bit 12 '0' after 38543.401 ns, -- Bit 11 '0' after 39031.68225 ns, -- Bit 10 '0' after 39519.9635 ns, -- Bit 9 '1' after 40008.24475 ns, -- Bit 8 '0' after 40496.526 ns, -- Bit 7 '0' after 40984.80725 ns, -- Bit 6 '0' after 41473.0885 ns, -- Bit 5 '0' after 41961.36975 ns, -- Bit 4 '0' after 42449.651 ns, -- Bit 3 '0' after 42937.93225 ns, -- Bit 2 '0' after 43426.2135 ns, -- Bit 1 '0' after 43914.49475 ns, -- Bit 0 LSB '0' after 44402.776 ns, --, --; --*****************write on Right Channel************************************* '0' after 48275.591 ns, -- Bit 23: MSB '0' after 48763.87225 ns, -- Bit 22: MSB-1 '0' after 49252.1535 ns, -- Bit 21: '0' after 49740.43475 ns, -- Bit 20 '0' after 50228.716 ns, -- Bit 19 '0' after 50716.99725 ns, -- Bit 18 '0' after 51205.2785 ns, -- Bit 17 '0' after 51693.55975 ns, -- Bit 16 '0' after 52181.841 ns, -- Bit 15 '0' after 52670.12225 ns, -- Bit 14 '0' after 53158.4035 ns, -- Bit 13 '0' after 53646.68475 ns, -- Bit 12 '0' after 54134.966 ns, -- Bit 11 '0' after 54623.24725 ns, -- Bit 10 '0' after 55111.5285 ns, -- Bit 9 '1' after 55599.80975 ns, -- Bit 8 '0' after 56088.091 ns, -- Bit 7 '0' after 56576.37225 ns, -- Bit 6 '0' after 57064.6535 ns, -- Bit 5 '0' after 57552.93475 ns, -- Bit 4 '0' after 58041.216 ns, -- Bit 3 '0' after 58529.49725 ns, -- Bit 2 '0' after 59017.7785 ns, -- Bit 1 '0' after 59506.05975 ns, -- Bit 0 LSB '0' after 59994.341 ns, --****************************************************************************** ---- 2. Datenpaket L&R 2 ----****************** write on Left Channel *********************************** '1' after 70722.391 ns, '0' after 71244.587 ns, ----*****************write on Right Channel************************************* '1' after 86350.898 ns, '0' after 86849.398 ns, ----****************************************************************************** ---- 3. Datenpaket L&R 3 ----****************** write on Left Channel *********************************** '1' after 101981.052 ns, '0' after 102958.192 ns, ----*****************write on Right Channel************************************* '1' after 117597.189 ns, '0' after 118579.996 ns, ----****************************************************************************** ---- 4. Datenpaket L&R 4 ----****************** write on Left Channel *********************************** '1' after 132726.711 ns, '0' after 133217.905 ns, ----*****************write on Right Channel************************************* '1' after 148361.256 ns, '0' after 148843.351 ns, ----****************************************************************************** ---- 5. Datenpaket L&R 5 ----****************** write on Left Channel *********************************** '1' after 163980.972 ns, '0' after 164455.843 ns, '1' after 164951.360 ns, '0' after 165433.739 ns, ----*****************write on Right Channel************************************* '1' after 179604.743 ns, '0' after 180090.640 ns, '1' after 180578.285 ns, '0' after 181071.173 ns, ----****************************************************************************** ---- 6. Datenpaket L&R 6 ----****************** write on Left Channel *********************************** '1' after 195223.939 ns, '0' after 196200.050 ns, ----*****************write on Right Channel************************************* '1' after 210845.001 ns, '0' after 211820.411 ns, ----****************************************************************************** ---- 7. Datenpaket L&R 7 ----****************** write on Left Channel *********************************** '1' after 226471.218 ns, '0' after 227926.830 ns, ----*****************write on Right Channel************************************* '1' after 242102.276 ns, '0' after 243557.888 ns, ----****************************************************************************** ---- 8. Datenpaket L&R 8 ----****************** write on Left Channel *********************************** '1' after 257222.121 ns, '0' after 257715.739 ns, ----*****************write on Right Channel************************************* '1' after 272848.604 ns, '0' after 273341.363 ns, ----****************************************************************************** ---- 9. Datenpaket L&R 9 ----****************** write on Left Channel *********************************** '1' after 288464.701 ns, '0' after 288951.212 ns, '1' after 289924.163 ns, '0' after 290424.720 ns, ----*****************write on Right Channel************************************* '1' after 304090.734 ns, '0' after 304578.445 ns, '1' after 305550.466 ns, '0' after 306051.558 ns, ----****************************************************************************** ---- 10. Datenpaket L&R 10 ----****************** write on Left Channel *********************************** '1' after 319716.919 ns, '0' after 320203.946 ns, '1' after 320684.446 ns, '0' after 321173.946 ns, ----*****************write on Right Channel************************************* '1' after 335347.977 ns, '0' after 335835.004 ns, '1' after 336315.504 ns, '0' after 336788.989 ns, ----****************************************************************************** ---- 11. Datenpaket L&R 11 ----****************** write on Left Channel *********************************** '1' after 350951.578 ns, '0' after 351448.078 ns, '1' after 351942.078 ns, '0' after 352913.078 ns, ----*****************write on Right Channel************************************* '1' after 366582.636 ns, '0' after 367079.136 ns, '1' after 367573.136 ns, '0' after 368544.136 ns, ----****************************************************************************** ---- 12. Datenpaket L&R 12 ----****************** write on Left Channel *********************************** '1' after 382212.145 ns, '0' after 383182.145 ns, ----*****************write on Right Channel************************************* '1' after 397843.203 ns, '0' after 398813.203 ns, ----****************************************************************************** ---- 13. Datenpaket L&R 13 ----****************** write on Left Channel *********************************** '1' after 413450.899 ns, '0' after 414423.899 ns, '1' after 414905.899 ns, '0' after 415400.899 ns, ----*****************write on Right Channel************************************* '1' after 429081.957 ns, '0' after 430054.957 ns, '1' after 430501.889 ns, '0' after 431031.957 ns, ----****************************************************************************** ---- 14. Datenpaket L&R 14 ----****************** write on Left Channel *********************************** '1' after 444690.487 ns, '0' after 446167.719 ns, ----*****************write on Right Channel************************************* '1' after 460321.545 ns, '0' after 461798.777 ns, ----****************************************************************************** ---- 15. Datenpaket L&R 15 ----****************** write on Left Channel *********************************** '1' after 475934.780 ns, '0' after 477895.572 ns, ----*****************write on Right Channel************************************* '1' after 491565.838 ns, '0' after 493526.630 ns, ----****************************************************************************** ---- 16. Datenpaket L&R 16 (1) ----****************** write on Left Channel *********************************** '1' after 506700.121 ns, '0' after 507186.688 ns, ----*****************write on Right Channel************************************* '1' after 522331.179 ns, '0' after 522817.746 ns, ----****************************************************************************** ---- 17. Datenpaket L&R 16 (2) ----****************** write on Left Channel *********************************** '1' after 537937.224 ns, '0' after 538433.224 ns, ----*****************write on Right Channel************************************* '1' after 553568.282 ns, '0' after 554064.282 ns, ----****************************************************************************** ---- 18. Datenpaket L&R 16 (3) ----****************** write on Left Channel *********************************** '1' after 569174.327 ns, '0' after 569660.894 ns, ----*****************write on Right Channel************************************* '1' after 584905.385 ns, '0' after 585391.952 ns, ----****************************************************************************** ---- 19. Datenpaket L&R 16 (4) ----****************** write on Left Channel *********************************** '1' after 600411.430 ns, '0' after 600897.997 ns, ----*****************write on Right Channel************************************* '1' after 616242.488 ns, '0' after 616729.055 ns, ----****************************************************************************** ---- 20. Datenpaket L&R 16 (5) ----****************** write on Left Channel *********************************** '1' after 631648.533 ns, '0' after 632135.100 ns, ----*****************write on Right Channel************************************* '1' after 647579.591 ns, '0' after 648066.158 ns, ----****************************************************************************** ---- 21. Datenpaket L&R 16 (6) ----****************** write on Left Channel *********************************** '1' after 662885.636 ns, '0' after 663372.203 ns, ----*****************write on Right Channel************************************* '1' after 678543.189 ns, '0' after 679029.508 ns, ----****************************************************************************** ---- 22. Datenpaket L&R 16 (7) ----****************** write on Left Channel *********************************** '1' after 694122.739 ns, '0' after 694609.306 ns, ----*****************write on Right Channel************************************* '1' after 709780.292 ns, '0' after 710266.859 ns, ----****************************************************************************** ---- 23. Datenpaket L&R 16 (8) ----****************** write on Left Channel *********************************** '1' after 725359.842 ns, '0' after 725846.409 ns, ----*****************write on Right Channel************************************* '1' after 741017.395 ns, '0' after 741503.962 ns, ----****************************************************************************** ---- 24. Datenpaket L&R 16 (9) ----****************** write on Left Channel *********************************** '1' after 756597.945 ns, '0' after 757083.512 ns, ----*****************write on Right Channel************************************* '1' after 772266.539 ns, '0' after 772776.539 ns, ----****************************************************************************** ---- 25. Datenpaket L&R 16 (10) ----****************** write on Left Channel *********************************** '1' after 787834.048 ns, '0' after 788320.615 ns, ----*****************write on Right Channel************************************* '1' after 803515.049 ns, --834755.142 ns, '0' after 804018.874 ns, --835241.709 ns, ----****************************************************************************** ---- 26. Datenpaket L&R 16 (11) ----****************** write on Left Channel *********************************** '1' after 819071.151 ns, '0' after 819557.718 ns, ----*****************write on Right Channel************************************* '1' after 834776.505 ns, --850308.254 ns, --865992.245 ns, '0' after 835260.151 ns, --850794.821 ns, --866478.812 ns, ----****************************************************************************** ---- 27. Datenpaket L&R 16 (12) ----****************** write on Left Channel *********************************** '1' after 850308.254 ns, '0' after 850794.821 ns, ----*****************write on Right Channel************************************* '1' after 866020.206 ns, --897229.348 ns, '0' after 866502.349 ns, --897715.915 ns, ----****************************************************************************** ---- 28. Datenpaket L&R 16 (13) ----****************** write on Left Channel *********************************** '1' after 881545.357 ns, '0' after 882031.924 ns, ----*****************write on Right Channel************************************* '1' after 897261.591 ns, '0' after 897749.190 ns, ----****************************************************************************** ---- 29. Datenpaket L&R 16 (14) ----****************** write on Left Channel *********************************** '1' after 912782.460 ns, '0' after 913269.027 ns, ----*****************write on Right Channel************************************* '1' after 928499.295 ns, '0' after 928997.789 ns, ----****************************************************************************** ---- 30. Datenpaket L&R 16 (15) ----****************** write on Left Channel *********************************** '1' after 944019.563 ns, '0' after 944506.130 ns, ----*****************write on Right Channel************************************* '1' after 959750.990 ns, '0' after 960239.700 ns, ----****************************************************************************** ---- 31. Datenpaket L&R 16 (16) ----****************** write on Left Channel *********************************** '1' after 975256.666 ns, '0' after 975743.233 ns, ----*****************write on Right Channel************************************* '1' after 990999.984 ns, '0' after 991484.157 ns, ----****************************************************************************** ---- 32. Datenpaket L&R 16 (17) ----****************** write on Left Channel *********************************** '1' after 1006619.705 ns,--1006493.769 ns, '0' after 1007110.705 ns,--1006980.336 ns, ----*****************write on Right Channel************************************* '1' after 1022230.483 ns, '0' after 1022730.719 ns, ----****************************************************************************** ---- 33. Datenpaket L&R 16 (18) ----****************** write on Left Channel *********************************** '1' after 1037860.469 ns,--1037730.872 ns, '0' after 1038358.469 ns,--1038217.439 ns, ----*****************write on Right Channel************************************* '1' after 1053473.897 ns, '0' after 1053969.811 ns, ----****************************************************************************** ---- 34. Datenpaket L&R 16 (19) ----****************** write on Left Channel *********************************** '1' after 1069097.572 ns, --1068967.975 ns, '0' after 1069584.139 ns, --1069454.542 ns, ----*****************write on Right Channel************************************* '1' after 1084722.196 ns, '0' after 1085223.446 ns, ----****************************************************************************** ---- 35. Datenpaket L&R 16 (20) ----****************** write on Left Channel *********************************** '1' after 1100334.675 ns, --1100205.078 ns, '0' after 1100921.242 ns, --1100691.645 ns; ----*****************write on Right Channel************************************* '1' after 1115964.084 ns, '0' after 1116467.463 ns; ----****************************************************************************** end Behavioral;