library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; entity lightLED is port( Button : in std_logic:='0'; Switches : in std_logic_vector(3 downto 0); Clk : in std_logic; Rst : in std_logic; D : in std_logic; LED : out std_logic:='1'; Q : out std_logic); end entity lightLED; architecture Behavior of lightLED is begin LED <= Button; process(Clk, Rst) begin if(Rst ='1') then Q <= '0'; elsif(rising_edge(Clk)) then Q <= D; end if; end process; end architecture Behavior;