library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.ALL; entity lightLED is port( Button : in std_logic; Switches : in std_logic_vector(3 downto 0); Clk : in std_logic; Rst : in std_logic; LED : out std_logic); end lightLED; architecture Behavior of lightLED is -- Hier kannst du Signale deklarieren. begin -- Da kommen kombinatorische Zuweisungen hin die nicht getaktet sind. process (Clk, Rst) begin if Rst = '1' then -- Was soll beim Reset passieren? elsif rising_edge(Clk) then -- Hier kommt die getaktete Beschreibung hin, also der Zähler. end if; end process; end;