MAX+PLUS II ver. 9.4 READ.ME ============================ Although we have made every effort to ensure that this version functions correctly, there may be problems that we haven't encountered. If you have a question or problem that is not answered by the information provided in this read.me file or MAX+PLUS II Help, please contact Altera Applications: Technical Support Hotline: (800) 800-EPLD or (408) 544-7000 Fax: (408) 544-6401 E-mail address: support@altera.com You can also try visiting the Atlas on-line solutions database for additional help. The Atlas page is on the Altera world-wide web site, located at http://www.altera.com. Or, for information on other ways to contact Altera, go to "Contacting Altera" in the main MAX+PLUS II Help file. You can also check the MAX+PLUS II Software Updates page at http://www.altera.com/html/tools/swupdates.html to see the latest information about software updates that are available for downloading. MAX+PLUS II Version 9.4 ======================= This read.me file for MAX+PLUS II version 9.4 includes information that was not incorporated into the printed documentation or on-line help. Once you have installed and started MAX+PLUS II, this read.me file is also available from the MAX+PLUS II Help menu. This file contains the following sections: o Installation & Operating Requirements o Potential Problems & Recommendations o Documents Available on Altera's World-Wide Web Site o About MAX+PLUS II On-Line Help MAX+PLUS II Help and this read.me file provide the most up-to-date information on MAX+PLUS II software. You should always rely on the on-line help and this read.me file for the most current information. NOTE: Some system configurations do not include all features and applications of the complete MAX+PLUS II system. Please ignore all information in this read.me file that is not applicable to your product configuration. Contact your Altera sales representative or Altera Marketing if you wish to purchase an add-on product that offers additional features and applications. Installation & Operating Requirements ===================================== Be sure to read all information on installation and operating requirements in this file before you install MAX+PLUS II version 9.4. The following topics are discussed: o General Information o Minimum Memory & Disk Space Requirements o Obtaining a License File o Installing MAX+PLUS II Software on a PC o Single-User Licensing for PCs o Multi-User Network Licensing for PCs o PC-Specific Potential Installation Problems o Changes to the UNIX Installation Script o UNIX Workstation-Specific Potential Installation Problems o Logic Programmer Card Support o MasterBlaster Support o Configuring an HP 9000 Series 700/800 Workstation Serial Port for Programming o Installing & Using Japanese On-Line Help for MAX+PLUS II version 9.2 o Uninstalling MAX+PLUS II Software on a PC NOTE: The MAX+PLUS II Getting Started manual, which includes installation instructions for MAX+PLUS II, is available from Altera's world wide web site. The Altera home page is located at http://www.altera.com. General Information ------------------- 1. You should install MAX+PLUS II version 9.4 in a separate directory from any previous MAX+PLUS II version. To enable MAX+PLUS II features and applications, you must specify your license file with the License Setup command (Options menu) when you use MAX+PLUS II for the first time. A license file is provided to you either with your MAX+PLUS II system or after you fax the "Registration and License File Request Form" to Altera. You can also obtain a license from the Altera web site at http://www.altera.com. 2. The MAX+PLUS II Installation program and software authorization mechanism have both changed since the MAX+PLUS II Getting Started manual was last updated (for MAX+PLUS II ver. 8.1). As a result, some information in the manual is out of date. This read.me file provides current installation information. 3. MAX+PLUS II requires the Arial TrueType font and the MS Sans Serif (VGA resolution) font, which are present in normal Windows installations. In addition, TrueType fonts must be enabled with the Fonts control in the Windows Control Panel. 4. MAX+PLUS II version 9.4 EDIF, VHDL, and Verilog HDL I/O support is compatible with the following EDA vendor releases: o Cadence 97A o Exemplar Galileo Extreme 4.1.1 o Exemplar Leonardo 4.1.3 o Mentor Graphics C.2 o Model Technology ModelSim EE 5.1g for UNIX workstations and ModelSim PE 5.2b for PCs o Motive 5.1.6 o Synopsys Design Compiler/FPGA Compiler 98.02 o Synopsys FPGA Compiler II 3.3 o Synopsys FPGA Compiler II Altera Edition 3.3 o Synopsys FPGA Express 3.0 o Synopsys PrimeTime 1998.02-PT2.1 o Synplicity Synplify 3.0 C.1 o Viewlogic Powerview 6.1 o Viewlogic Workview Office 7.5 5. MAX+PLUS II for PCs is supported on the following operating systems: o Windows NT 4.0 o Windows NT 3.51 o Windows 98 o Windows 95 6. MAX+PLUS II for UNIX workstations is supported on the following operating systems: o Sun SPARCstations Solaris 2.5 or higher o HP 9000 Series 700/800 workstations with HP-UX 10.20 or higher; however, HP-UX versions 11.0 and higher are not yet supported o IBM RISC System/6000 workstations with AIX 4.1 or higher 7. MAX+PLUS II for UNIX workstations supports the following X servers for displaying MAX+PLUS II on another system (this list does not necessarily indicate the support for MAX+PLUS II): o Digital UNIX 3.0 or higher (formerly known as OSF/1) o HP HP-UX 10.20 or higher; HP-UX versions 11.0 and higher are not yet supported o Hummingbird Exceed 5.1 or higher (for Windows) o IBM AIX 4.1 or higher o SGI Irix 5.1 or higher o Sun Solaris 2.5 or higher (for all platforms) Other servers may work, but are not guaranteed to do so. 8. If you use EDA tools from Cadence, Exemplar Logic, Mentor Graphics, Synopsys, Synplicity, or Viewlogic, you will need a hypertext markup language (HTML) browser such as Microsoft Internet Explorer or Netscape Navigator to be able to use the MAX+PLUS II ACCESS Key Guidelines, which are HTML topics that provide information on using these EDA tools with MAX+PLUS II software. You can choose to install the ACCESS Key Guidelines on your hard disk when you install the MAX+PLUS II software, or view them from the MAX+PLUS II CD-ROM. They are also available at the Altera web site at http://www.altera.com, although they are first published on the MAX+PLUS II CD-ROM. Minimum Memory & Disk Space Requirements ---------------------------------------- 1. To run MAX+PLUS II version 9.4 software, you must have and maintain a minimum of 48 Mbytes of available memory, i.e., combined physical RAM and virtual memory, at least 16 Mbytes of which should be physical RAM to provide acceptable performance. The following table shows the amounts of available memory required for compiling projects targeted for the larger devices in some Altera device families: Family: Minimum Available Minimum Physical Memory: RAM: MAX 7000 48 Mbytes 16 Mbytes MAX 9000 64 Mbytes 32 Mbytes FLEX 6000 64 Mbytes 32 Mbytes FLEX 8000 64 Mbytes 32 Mbytes FLEX 10K 256 Mbytes 128 Mbytes 2. A full MAX+PLUS II installation requires approximately 375 Mbytes of free disk space. You can reduce the disk space required by choosing not to install for some device families in the custom install portion of the Setup program, for example, if you do not need support for all the different varieties of FLEX 10K devices. Obtaining a License File ------------------------ New MAX+PLUS II version 9.4 development systems for individual PCs and and customers with software maintenance agreements should already have a license file. For other types of development systems, the license file may not be included and you must first contact Altera with information about your computer hardware. To obtain a license file for use with MAX+PLUS II, follow these steps: 1. Install and start MAX+PLUS II version 9.4. Note: If you are installing MAX+PLUS II on a UNIX workstation, you should not attempt to obain a license file by using the installation script. Follow these instructions instead. 2. Choose License Setup from the Options menu to display the License Setup dialog box. Choose the System Info button to display the System Information dialog box, which shows relevant information about your computer, including one or more of the following: your hard disk serial number (on PCs), your Software Guard ID (on PCs), the Network Interface Card (NIC) address number (on PCs), and a System ID (on UNIX workstations). Make a note of all information that is displayed. 3. Go to the Altera web site at http://www.altera.com to obtain your license. or: Fill out the "Registration and License File Request" form, which is provided with some MAX+PLUS II systems, and fax the form to Altera Corporation at (408) 544-7606. Once Altera sends you a license.dat file, copy it into your MAX+PLUS II system directory (typically c:\maxplus2 on a PC or /usr/maxplus2/adm on a UNIX workstation). Installing MAX+PLUS II Software on a PC ---------------------------------------- The MAX+PLUS II installation program and software authorization/ licensing mechanism have both been changed since the MAX+PLUS II Getting Started manual was last updated (for MAX+PLUS II ver. 8.1). You now install the MAX+PLUS II software by inserting the MAX+PLUS II CD-ROM into your CD-ROM drive. The MAX+PLUS II Install CD window appears automatically, offering several options. You can choose to install full, custom, BASELINE, or Programmer-only versions of the MAX+PLUS II software, as well as the ACCESS Key Guidelines. You can also choose to view the MAX+PLUS II Help, read.me file, license agreement, or ACCESS Key Guidelines before you install the MAX+PLUS II software. The Setup program starts automatically and guides you through the installation process. If the setup program is not already running, you can start it manually. In Windows 98, 95, or NT 4.0, choose Run from the Start menu (in Windows NT 3.51, choose Run from the Program Manager's File menu), type the following command, and choose OK: :autorun.exe If you prefer, you can also start the Setup program manually for individual versions of the MAX+PLUS II software by chooing Run (Start menu, typing one of the following commands, and choosing OK: To install the full version, type :\pc\full\setup.exe To install the BASELINE version, type :\pc\baseline\setup.exe To install the Programmer-only version, type :\pc\prog\setup.exe To install the ACCESS Key Guidelines, type :\pc\access\setup.exe Single-User Licensing for PCs ===================================== Before you can use an installed single-user PC version of the MAX+PLUS II software, you must obtain a license file (license.dat) from Altera. Refer to the instructions on "Obtaining a License File" for more information. To set up single-user PC licensing, follow these steps: 1. Choose License Setup (Options menu) in MAX+PLUS II. 2. In the License File or Server Name box, specify the full pathname of your license.dat file. You can choose the Browse button to locate your license.dat file. Altera recommends that you store the license.dat file in your MAX+PLUS II system directory, typically c:\maxplus2. or: (For Windows NT only) Specify the license.dat file in the Windows System control panel: 1. Choose Control Panel from the Windows Start menu (in Windows NT 3.51, open the Main program group from the Program Manager, then double-click Button 1 on the Control Panel icon). 2. Double-click Button 1 on the System control panel icon. 3. Choose the Environment tab and specify the LPM_LICENSE_FILE variable for the Variable option. Specify the pathname of the license.dat file for the Value option. The license.dat file should be located on a local drive. Altera recommends that you store the license.dat file in your MAX+PLUS II system directory, typically c:\maxplus2. or: (For Windows 95 and Windows 98 only) Specify the location of the license.dat file in your autoexec.bat file, which is usually located in your c: directory. To specify the licence.dat file, add the following line to your autoexec.bat file: set LM_LICENSE_FILE You must restart Windows after saving changes in the autoexec.bat file. Multi-User Network Licensing for PCs ===================================== The following sections explain how to set up the license manager server and client PCs in a networked multi-user environment. A system administrator should perform these steps after you have installed the MAX+PLUS II software. o Setting Up the License Manager Server o Setting Up the Client PC Setting Up the License Manager Server o MAX+PLUS II version 9.4 supports network license servers on Windows NT 3.51 and 4.0 platforms. System administrators should follow these steps for configuring license servers with the FLEXlm License Manager control panel for Windows NT: 1. Choose Control Panel from the Windows Start menu (in Windows NT 3.51, open the main program group from the Program Manager, then double-click button 1 on the Control Panel icon). Double-click Button 1 on the FLEXlm License Manager control panel icon. If the FLEXlm License Manager control panel icon does not appear in the Control Panel window, you will need to install it from the MAX+PLUS II CD-ROM. Select the FLEXlm Server Installation option in the Setup Type dialog box to install the FLEXlm Server software. 2. Choose the Setup tab to enter information about your license server. Specify the Service Name. The default Service Name is FLEXlm License Manager, but you should change this name to Maxplus2 License Manager. Complete the other fields on the Setup tab , always including the complete pathnames to the files you specify. The lmgrd.exe executable is located in the MAX+PLUS II system directory. Specifying the debug log file is optional. 3. Set up the Maxplus2 License Manager as a service by turning on the Use NT Services option in the Setup tab. You can then use the Services control panel to adjust the starting and stopping behavior of the Maxplus2 License Manager. Altera recommends that you set up the Maxplus2 License Manager as a server so that licenses can be issued to other users after you have logged out of an NT session. If you want to have the Maxplus2 License Manager start automatically when you start your PC, you must also turn on the Start Server at Power-Up option. 4. Choose the Control tab. This tab allows you to start, stop, and check the status of your license server. Choose Start to turn on your license server and launch the Maxplus2 License Manager as a background application with the license file and debug log file locations passed as parameters. Note: You can also set up a UNIX workstation as the license server for PCs. Refer to "Configuring the License Server" in Section 1: MAX+PLUS II Installation of the MAX+PLUS II Getting Started manual (pages 33 to 34) for more information. For information about troubleshooting license installation problems, refer to the "Troubleshooting License Installation" section in Section 1: MAX+PLUS II Installation of the MAX+PLUS II Getting Started manual (pages 34 to 38). Although these instructions were written for UNIX workstations, they also apply to PCs, with the following exceptions: - All error messages will appear in the optional debug log file, not on screen. - All slashes (/) in pathnames should be backslashes (\) on the PC; all executables have .exe extensions for the PC; and all references to NFS, chmod, and permissions apply to UNIX only and should be ignored for the PC. - The message /usr/maxplus2/max2protd: Command not found described on page 34 does not apply to PCs. - For the message retrying socket bind (address) in use, which is described on page 36, you should substitute the following steps for steps 2 and 3: 2. Open the Windows Task Manager and choose the Processes tab. Select lmgrd.exe from the list and choose the End Process. 3. Repeat step 2, but select alterad.exe from the list. o For additional information about the FLEXlm utility, refer to the FLEXlm End User manual at http://www.globetrotter.com/manual.htm. You can also refer to "License Administration Options File" and "License Administration FLEXlm Utilities" in Section 1: MAX+PLUS II Installation of the MAX+PLUS II Getting Started manual (pages 38 to 45). However, the lmstat, lmdown, lmremove, lmreread, lmver, and lmhostid commands that are listed are now parameters to lmutil, rather than separate commands (lmgrd is still considered a command). Setting Up the Client PC Before you set up your client PC, you must obtain a license file from Altera. Refer to the instructions in Obtaining a License File for more information. To set up your client PC, follow these steps: 1. Choose License Setup (Options menu) in MAX+PLUS II. 2. In the License File or Server Name box, specify the full pathname of your license.dat file, or the name of the server on which your license file is stored, in the format @. You can choose the Browse button to locate your license.dat file. Altera recommends that you store the license.dat file in your MAX+PLUS II system directory, typically c:\maxplus2. NOTE: If your license.dat file contains an error in the license server name or if the path to the license.dat file has been specified incorrectly, your computer may appear to freeze while it searches your computer network for the non-existent server. If this problem occurs, you can use the End Task button in the Windows NT Task Manager to quickly close MAX+PLUS II. Then edit your license file to correct the error or specify the correct pathname for the license.dat file and try again. or: o (For Windows NT only) Specify the license.dat file or the license server name in the Windows System control panel: 1. Choose Control Panel from the Windows Start menu (in Windows NT 3.51, open the Main program group from the Program Manager, then double-click Button 1 on the Control Panel icon). 2. Double-click Button 1 on the System control panel icon. 3. Choose the Environment tab and specify the LM_LICENSE_FILE variable for the Variable option. Specify the pathname of the license.dat file for the Value option. The license.dat file can be located on a local drive (Altera recommends that you store the license.dat file in the maxplus2 directory) or on a mounted license server drive. or: o (For Windows 95 or Windows 98 only) Specify the name of the server where your license file is stored by adding a line to your autoexec.bat file, which is usually located in your c: directory. To specify the licence.dat file, add one of the following lines to your autoexec.bat file: set LM_LICENSE_FILE @ You must restart Windows after saving changes in the autoexec.bat file. o Specify the name of the server where your license file is stored by setting the LM_LICENSE_FILE variable to @. However, the License File or Server Name specification in the License Setup dialog box takes precedence over the LM_LICENSE_FILE environment variable setting. PC-Specific Potential Installation Problems ------------------------------------------- o MAX+PLUS II versions 9.0 and later use a different installation program from previous versions. As a result, the following information replaces information in the "Installing the MAX+PLUS II Software" chapter of Section 1: MAX+PLUS II Installation of the MAX+PLUS II Getting Started manual: - You now install the MAX+PLUS II software by inserting the MAX+PLUS II CD-ROM into your CD-ROM drive. The MAX+PLUS II Install CD window appears automatically, offering several options. You can choose to install full, custom, BASELINE, or Programmer-only versions of the MAX+PLUS II software, as well as the ACCESS Key Guidelines. You can also choose to view the MAX+PLUS II Help, read.me file, license agreement, or ACCESS Key Guidelines before you install the MAX+PLUS II software. The Setup program starts automatically and guides you through the installation process. - On-line help is not available during the installation process. - The steps needed to uninstall earlier MAX+PLUS II versions vary, depending on the version. Go to "Uninstalling MAX+PLUS II Software" later in this file for more information. o If you change or upgrade the operating system on your computer, refer to this read.me file and to the MAX+PLUS II Installation section of the MAX+PLUS II Getting Started manual for information that may apply to your new operating system. For example, if you install or upgrade Windows NT, you may need to install new Windows NT drivers. o On Windows NT computers, you must install the ByteBlaster driver before using the ByteBlaster. Go to MAX+PLUS II Installation in the MAX+PLUS II Getting Started manual for instructions. o The installation process offers you the option of installing the MAX+PLUS II ACCESS Key Guidelines on your hard disk. These guidelines provide information on using MAX+PLUS II with other EDA tools, so Altera recommends installing them on your hard disk if you are using other EDA tools with MAX+PLUS II. If you do not install the ACCESS Key Guidelines on your hard disk, you can view them on the MAX+PLUS II CD-ROM by choosing the View MAX+PLUS II ACCESS Key Guidelines button in the MAX+PLUS II Install CD dialog box. They are also available at the Altera web site at http://www.altera.com, although they are first published on the MAX+PLUS II CD-ROM. o If you have any problems with the installation of the Sentinel drivers, you can install them manually by performing the following steps: 1. Type the following command from the \maxplus2\drivers directory to start the driver installation program: setupx86.exe 2. Choose Install Sentinel Driver from the Functions menu in the Sentinel driver installation program to install the Sentinel drivers. 3. Reboot your PC. o If you receive the error message license daemon: execl failed, check the DAEMON line in the license.dat file to verify that the path to alterad.exe is correctly specified as :\quartus\bin\alterad.exe. Refer to Figure 1-1 on page 21 of the Getting Started manual for a detailed illustration of the license.dat file. Changes to the UNIX Installation Script --------------------------------------------------------- o The UNIX installation script has been updated with the following changes: - It allows you to specify which Altera device families you want to use, so you can install device support for those families only (you can also specify that the installation script should install device support for all device families). - It allows you to install the MAX+PLUS II ACCESS Key Guidelines on your hard disk. These guidelines provide information on using MAX+PLUS II in conjunction with other EDA tools from Cadence, Exemplar If you are using HP 9000 Series 700/800 and IBM RISC/System 6000 workstations, you MUST install the ACCESS Key Guidelines on your hard disk in order to use them. - It allows you to install the MAX+PLUS II License Server separately, and allows you to specify the platform for the License Server. You should not attempt to obtain a license file by using the UNIX installation script. Instead, you should refer to the instructions in "Obtaining a License File." UNIX Workstation-Specific Potential Installation Problems --------------------------------------------------------- o At the time of the MAX+PLUS II ver. 9.4 release, the following information about operating system patches was up to date. However, Altera recommends visiting the Atlas solutions page on the Altera web site for late-breaking information on required operating system patches. - If you are using Solaris 2.5.1 or 2.6 on a PCI-based UltraSPARC workstation (i.e., Ultra 5 or Ultra 10), you must install the 105362-09 operating system patch, which is available from the Sun Microsystems support web site at http://sunsolve.sun.com. - If you are using HP-UX 10.2x, you must install the PHSS_14262 operating system patch, which is available from the Hewlett-Packard support web site at http://us-support.external.hp.com for Americas/ Asia Pacific and http://europe-support.external.hp.com for Europe. You must install this patch on each HP 9000 Series 700/800 workstation that will run the MAX+PLUS II software. In addition, you must install this patch on the workstation that will function as the license server. o Fonts may appear strange on UNIX workstations if your font setup is not correct for MAX+PLUS II. See Appendix C: "UNIX Workstation Configuration Issues" in the MAX+PLUS II Getting Started manual for information that may apply to your operating system. Logic Programmer Card Support ----------------------------- o MAX+PLUS II supports the LP4, LP5, and LP6 Logic Programmer cards. MasterBlaster Support --------------------- You can use the MasterBlaster Serial/USB Communications Cable to download configuration data to FLEX 6000, FLEX 8000, FLEX 10K, and FLASHlogic devices, or to perform in-system programming for MAX 3000A, MAX 7000A, MAX 7000S, MAX 9000, FLASHlogic, and EPC2 devices. You can connect the MasterBlaster to a RS-232 serial port, which is also called a "COM" port on the PC, or, for Windows NT 98, to a USB port. The MasterBlaster receives power from 5.0-V or 3.3-V circuit boards, from the 5.0-V USB cable (Windows 98 only), or from a DC power supply, which is supplied with the MasterBlaster hardware. To install and set up the MasterBlaster for device configuration or programming, follow these steps: 1. With either a standard RS-232 cable or a standard USB cable, connect one end of the cable to the MasterBlaster, and the other end of the cable to the appropriate port on the computer. Note: If you are using the MasterBlaster communications cable with the USB port, the Windows 98 operating system prompts you to locate the USB driver for the MasterBlaster communications cable. The MasterBlaster driver is located in your \maxplus2\drivers directory. 2. Connect the 16-pin female header end of the communications cable to the 16-pin male MasterBlaster port, and the 10-pin female header to the 10-pin male header on the target printed circuit board. 3. Open the MAX+PLUS II Programmer or Simulator. Choose the Hardware Setup command (Options menu), and then select either MasterBlaster (COM) or MasterBlaster (USB) in the Hardware Type drop-down list box. If you selected MasterBlaster (COM), ensure that the correct COM port name is selected in the RS-232 Port drop-down list box and that the correct baud rate is specified in the Baud Rate list box. Choose OK. For more information about the MasterBlaster, refer to the MasterBlaster Serial/USB Communications Cable Data Sheet, which is available from the Altera web site at http://www.altera.com. Configuring an HP 9000 Series 700/800 Workstation Serial Port for Programming -------------------------------------------------------------- On an HP 9000 Series 700/800 workstation, you must configure the serial port as a direct connection, and not as a terminal or modem, in order to use the BitBlaster serial download cable. This process may require you to first remove the old device driver, then configure the new one. To remove the device driver, go through the following steps: 1. Ensure that you are logged on as a superuser. 2. Type sam at the system prompt to bring up the System Administration Manager (SAM). 3. Choose the Peripheral Devices icon. 4. Choose the Terminals and Modems icon. 5. Select the driver you wish to remove (usually /dev/tty0p0). 6. Make a note of the Hardware Path, which has the format 8/12/4. This path will be needed to install the new driver. 7. Choose the Remove Modem or Remove Terminal command from the Actions menu. 8. Choose Exit (File menu). 9. Choose Exit SAM (File menu). NOTE: To reconfigure the serial port driver, type the following command: # mksf -d asio0 -H -a 0 The new driver has a name of the format /dev/ttyp0. Installing & Using Japanese On-Line Help for MAX+PLUS II Version 9.2 -------------------------------------------------------------------- The CD-ROM for MAX+PLUS II version 9.4 includes a Japanese-language version of the main on-line help file for PC-based MAX+PLUS II version 9.2. You can use Japanese on-line help version 9.2 with MAX+PLUS II version 9.2 or higher. However, in versions higher than 9.2, not all Help information will be up to date, and some Help menu commands and certain portions of context-sensitive Help may not operate correctly. You can install this file in the MAX+PLUS II system directory to make it accessible from MAX+PLUS II. To install Japanese on-line help: 1. Ensure that MAX+PLUS II is not running, and go to a DOS prompt. You can either quit Windows or open a DOS box from Windows. 2. Use the DOS cd command to go to the MAX+PLUS II system directory (the directory where MAX+PLUS II is installed). This directory is usually c:\maxplus2. 3. Insert the MAX+PLUS II CD-ROM into your CD-ROM drive and run the install program. For example, if your CD-ROM drive is e:, type: e:\sensei\sensei1\install This command installs the Japanese-language version of the main Help file as maxplusj.hlp. If this files is present in your MAX+PLUS II system directory, MAX+PLUS II will use it automatically when you request help (you must not rename the files for this feature to work). The English-language help files are not deleted. You can open the English-language maxplus2.hlp and readme.hlp files when the Help application is open with the Open command (File menu). If necessary, you can remove the Japanese on-line help file from your system with the following command: e:\sensei\sensei1\install -u Installing MAX+PLUS II PL-ASAP2 on PCs Running Windows 3.1 or Windows for Workgroups 3.11 ------------------------------------------- The following instructions describe the requirements and procedures for installing the MAX+PLUS II PL-ASAP2 (Stand-Alone Programmer) software on an IBM PC-AT or compatible computer running Microsoft Windows 3.1 or Windows for Workgroups 3.11. These steps are necessary only when you are installing MAX+PLUS II PL-ASAP2 under Microsoft Windows 3.1 or Windows for Workgroups 3.11. This section covers the following topics: o System Requirements o Installing the MAX+PLUS II PL-ASAP2 Software o Using Win32s Additions to Windows 3.1 & Windows for Workgroups 3.11 Uninstalling MAX+PLUS II Software on a PC =========================================== The steps required to uninstall MAX+PLUS II software vary depending on the installed version of the software: o To uninstall MAX+PLUS II version 9.1 and higher, use the MAX+PLUS Uninstall icon that is automatically placed in the same folder as the MAX+PLUS software icon for the current version. o To uninstall MAX+PLUS II version 9.0, follow these steps: 1. Choose Control Panel from the Settings submenu of the Windows Start menu (in Windows NT 3.51, open the Main program group from the Program Manager, then double-click Button 1 on the Control Panel icon). 2. Double-click Button 1 on the Add/Remove Programs icon. 3. Choose the Install/Uninstall tab. 4. Select MAX+PLUS II from the list of programs to be removed. 5. Choose OK. o To uninstall pre-9.0 versions of MAX+PLUS II, choose the Uninstall button in the MAX+PLUS II pre-version 9.0 installation program, as described in the MAX+PLUS II Getting Started manual. Potential Problems & Recommendations ==================================== Information is available in the following categories: o General Information o PC-Specific Issues o UNIX Workstation-Specific Issues o Assignment & Configuration File (.acf) and Assign Menu Commands o Old-Style (74-Series) Macrofunctions o Megafunctions & Library of Parameterized Modules (LPM) Functions o Hierarchy Display o Graphic Editor o Waveform Editor o Compiler o Floorplan Editor o Simulator o Timing Analyzer o Programmer o Message Processor o AHDL o VHDL o Verilog HDL o Synopsys & MAX+PLUS II Interface o Mentor Graphics & MAX+PLUS II Interface o Model Technology & MAX+PLUS II Interface General Information ------------------- 1. You should install MAX+PLUS II version 9.4 in a new directory that is separate from any earlier installation. Because improvements and other changes in the latest version of MAX+PLUS II software may fit projects differently from earlier versions, you should finish existing projects with the earlier version. Altera also recommends that you archive a project before upgrading to MAX+PLUS II version 9.4 so that you can return to an earlier version, if necessary. 2. Opening MAX+PLUS II version 9.4 files with earlier versions of MAX+PLUS II may cause internal and other errors. If you save files with MAX+PLUS II version 9.4, it is likely that you will not be able to reopen them with pre-version 6.0 releases of MAX+PLUS II. 3. Due to changes in the Hierarchy Interconnect File (.hif) format, MAX+PLUS II version 6.0 or higher cannot correctly archive projects created with earlier versions of MAX+PLUS II unless the projects have been recompiled with MAX+PLUS II version 6.0 or higher. However, since the fit of a project may change with the newer version of MAX+PLUS II, Altera strongly recommends archiving existing projects with the previous version of MAX+PLUS II. 4. MAX+PLUS II versions 5.0 and higher do not correctly convert the now-obsolete logic option assignments in Text Design Files (.tdf) into the ACF format. Therefore, you must modify all Text Design Files (.tdf) that contain Options Statements in a pre-version 5.0 project before you work with the project (i.e., before you specify the project as the current project with the Project Name command) in MAX+PLUS II version 5.0 or higher. You must delete all Options Statements or comment them out. After you start MAX+PLUS II version 5.0 or higher, you can re-enter the logic option and device option assignments with Assign menu commands to add them to the ACF for the project, and remove the "commenting out" from Options Statements containing the BIT0 option, which is still supported. 5. When you transfer programming files, such as Programmer Object Files (.pof) and JEDEC Files (.jed), to another computer for device programming, you should also transfer a copy of the .acf file. If your programming file is on a write-protected floppy disk, MAX+PLUS II will issue error messages indicating that it is unable to write the ACF to the disk. The following actions should also be avoided: o You should not transfer a copy of the Fit File (.fit) to a computer with an earlier version of MAX+PLUS II for device programming. If you do, the earlier version of MAX+PLUS II may generate an internal error. o You should not attempt to program a device with the current version of MAX+PLUS II and then reopen the same project in the same directory with an earlier version of MAX+PLUS II. If you wish to program with a later version of MAX+PLUS II, you should copy the programming file(s) and a copy of the ACF to a separate directory. Otherwise, the later version of MAX+PLUS II may overwrite the ACF with information that is incompatible with the earlier version. 6. You must use the max2win.exe file to start MAX+PLUS II software in interactive mode. Typing maxplus2 at the command line runs MAX+PLUS II software in batch mode 7. You must not name any pin with the same name as the project. A pin with the project name that has an assignment will cause all unassigned logic functions to have the same assignment, thus yielding numerous error messages. 8. If your third-party design entry software saves assignments in a .ini, Probe & Resource Assignment File (.prb), and/or Text Design File (.tdf), refer to "Guidelines for Working with Assignments" in MAX+PLUS II Help for information on how to ensure that your assignments are imported correctly into MAX+PLUS II version 9.4. 9. Altera recommends performing Setup/Hold Matrix timing analysis with the Timing Analyzer for all designs to reveal possible positive hold times. 10. MAX+PLUS II Help and manuals state that groups (and arrays) are limited to 256 bits (i.e., 256 member nodes). However, the only restriction on group sizes exists in the Waveform Editor and Simulator, which cannot simulate groups larger than 256 bits. Your design files can contain buses of unlimited size. 11. The Altera modem-based bulletin board service (BBS) has been discontinued. You can obtain all forms of support that were formerly available via the BBS on the Altera ftp site (ftp.altera.com) or world-wide web site (http://www.altera.com). See "Contacting Altera" in MAX+PLUS II Help for more information 12. All filename extensions for design files used in MAX+PLUS II must be three characters or fewer. In addition, the names of directories that contain design files and libraries should not contain periods (.). PC-Specific Issues ------------------ 1. Windows NT and Windows 95/98 will allow you to run multiple copies of MAX+PLUS II from the command line. However, you should not run multiple copies of MAX+PLUS II on the same project, and Altera strongly recommends avoiding this practice. Unpredictable and/or incorrect results may occur. 2. If you are running MAX+PLUS II under Windows NT 4.0 and MAX+PLUS II or your system crashes, text in the Altera font may become invisible. This problem is especially obvious in the Hierarchy Display, which uses Altera font to display filenames. To correct the problem, reboot your system. 3. The \maxplus2\drivers directory on the MAX+PLUS II CD-ROM includes the win_95 subdirectory, which contains drivers that may be needed to run the MAX+PLUS II software on a PC running Windows 95/98 with a software guard. If your MAX+PLUS II software does not recognize a software guard that is attached to your parallel port, you can try installing these drivers. To install the drivers, mount the MAX+PLUS II CD-ROM, go to the \maxplus2\drivers\win_95 directory, and execute the install.bat program. 4. MAX+PLUS II version 9.1 or higher no longer requires the maxplus2.idx file to map long filenames on PCs (i.e., filenames containing from 9 to 32 characters) to 8-character filenames. MAX+PLUS II now accepts and uses long filenames, and does not need to map them to shorter filenames. If you are using files that were created with pre-9.0 versions of MAX+PLUS II, and choose Open (File menu) or Project Name (File menu), MAX+PLUS II will read the existing maxplus2.idx file and restore all of the short filenames to their original long filenames automatically. After all of the filenames have been restored, MAX+PLUS II deletes the maxplus2.idx file. UNIX Workstation-Specific Issues -------------------------------- NOTE: For more information on special requirements for UNIX workstations, refer to Appendix C: Additional Workstation Configuration Information in the MAX+PLUS II Getting Started manual. 1. If you compile an EDIF netlist file for a very large FLEX 10K design and another program is using large amounts of memory, a segmentation fault can occur in the Fitter, regardless of the available memory on the workstation. To avoid a segmentation fault, shut down all other programs that use large amounts of memory before compiling a design. 2. The Optimize Timing SNF command (Processing menu) does not create optimized timing SNFs on UNIX workstations. However, a non-optimized timing SNF provides the same functional and timing information as an optimized timing SNF. In addition, turning on this command can reduce the size of output netlists -- including VHDL Output Files (.vho), Verilog Output Files (.vo), EDIF Output Files (.edo), and Standard Delay Format Output Files (.sdo) -- by up to 30%. 3. The Print Setup command (File menu) allows you to specify PostScript or encapsulated PostScript files, portrait or landscape orientation, and scaling options only. Other features, such as paper size or source, are not available at this time. 4. Compilation with the Synopsys Design Compiler and FPGA Compiler is available only on Sun SPARCstations running Solaris 2.5 or higher. 5. The filename extensions of design files must be in lowercase letters, and must not be longer than three characters. Altera recommends using all lowercase letters in filenames. In addition, the names of directories that contain design files and libraries should not contain periods (.). 6. If you are using a window manager other than CDE or Motif and the colors on screen in the MAX+PLUS II software or MAX+PLUS II on-line help appear strange, include the MWLOOK=windows variable in your maxplus2.ini file. See "MWLOOK" under "Environment Variables" in Appendix C: Additional Workstation Configuration Information in the MAX+PLUS II Getting Started manual for additional information. 7. Some of the information in "Environment Variables" in Appendix C: Additional Workstation Configuration Information in the MAX+PLUS II Getting Started manual has changed: - The default value for the MWLOOK environment variable, which is described on page 290, is now MWLOOK=motif. - The MWWM environment variable, which is described on page 292, has an additional value, allwm. Setting the MWWM variable to allwm specifies that the MAX+PLUS II should use the native window manager. By default, no value is set for the MWWM environment variable. 8. If you receive an error message while using MAX+PLUS II, MAX+PLUS II Help, or the MAX+PLUS II MegaWizard Plug-In Manager that says "word too long," it is because the PATH environment variable has exceeded 1024 characters, which is the limit of the C shell. To correct this error, you should use the MAX2_INITIAL_PATH environment variable to specify a shorter version of the PATH variable. MAX+PLUS II will replace the PATH variable with the version you have specified for the MAX2_INITIAL_PATH environment variable before appending any MAX+PLUS II paths to the PATH variable. However, if you plan to use the Synopsys Compiler command (Interfaces menu) to allow Synopsys compilers to process your project during MAX+PLUS II compilation, you must make sure that the Synopsys software paths are included in the MAX2_INITIAL_PATH environment variable. 9. In the "License Administration FLEXlm Utilities" in Section 1: MAX+PLUS II Installation of the MAX+PLUS II Getting Started manual (pages 40 through 45), the lmstat, lmdown, lmremove, lmreread, lmver, and lmhostid commands that are listed are now parameters to lmutil, rather separate commands (lmgrd is still considered a command). 10. If you are running a software application other than MAX+PLUS II that uses a win.ini file in a windows subdirectory within your home directory, that software may conflict with the MAX+PLUS II software. To avoid this conflict, you should change the location of the win.ini file for the MAX+PLUS II software by setting the following environment variables: setenv MW_WINDOWS_DIRECTORY setenv MW_SYSTEM_DIRECTORY /system Altera recommends using /altera as the . 11. Altera Design Files (.adf) orignally created for use with the Altera A+PLUS software are not supported in the UNIX workstation version of the MAX+PLUS II software. Assignment & Configuration File (.acf) and Assign Menu Commands --------------------------------------------------------------- 1. You cannot undo edits entered with Assign menu commands. You must manually reverse any change that you wish to undo. Edits to assignment and configuration information are not undone even if you close a file without saving any edits. 2. If you delete a logic function that has an assignment, the assignment is not automatically deleted from the ACF. However, you can turn on the Obsolete Assignments option in the Clear Project Assignments dialog box (Assign menu) to delete the obsolete assignments. 3. The Pin/Location/Chip dialog box does not support simultaneous edits for items assigned to multiple different chips. All logic functions selected when you use the dialog box must be assigned to the same chip. Therefore, you should not select items that are assigned to multiple different chips before opening the dialog box. 4. In MAX+PLUS II version 8.2 and later, all options under Automatic Global in the Global Project Logic Synthesis dialog box (Assign menu) default to On for new projects. Therefore, MAX+PLUS II version 9.0 may create more automatic global signals for some devices than earlier versions of MAX+PLUS II. In general, this change will improve fitting results. However, if you have problems with fitting projects that compiled with earlier versions of MAX+PLUS II because of automatic global signal changes, you should turn off the appropriate option under Automatic Global in this dialog box. You should then use GLOBAL primitives or the Global Signal logic option (new in version 7.0) to implement global signals in your project. For example, the automatic global clocking may create problems in MAX 5000 devices, in which each LAB is allowed to have either all global or all array clocks. For MAX 5000 projects with multiple clocks, you may wish to turn off automatic global clocking with this dialog box. 5. Logic option assignments can only use a restricted subset of the hierarchical node name syntax in ACFs. To avoid problems, always enter logic option assignments with Assign menu commands. You will receive an error message if an assignment has incorrect syntax. 6. The Report File (.rpt) sometimes inaccurately reports the timing performance achieved with timing assignments entered with the Timing Requirements and Global Project Timing Requirements commands (Assign menu). You should use the MAX+PLUS II Timing Analyzer to obtain accurate information on the timing of a compiled project. 7. If you turn on the Automatic Fast I/O option in the Global Project Logic Synthesis dialog box (Assign menu), the Compiler may mistakenly attempt to implement an I/O cell register fed by a dedicated input pin, which does not have an input register, and generate the message "Illegal assignment -- I/O cell with on pin ." You can work around this problem by turning the Fast I/O logic option off for the specified input pin name. You can turn this option off with the Individual Logic Options dialog box, which you can open from the Logic Options dialog box (Assign menu). 8. The Compiler will ignore a specified maximum length of 2 on the lengths of carry chains. Lengths of 3 or greater work correctly. 9. You must not use the name “auto” as a chip name in your projects. If you have used auto as a chip name, you should specify a different chip name in the Chip Name box in the Pin/Location/Chip dialog box. You must then click the Assign Device button to open the Device dialog box, click the Edit Chips button to expand the Device dialog box, select the auto chip in the Existing Device Assignments list, and click Delete to delete it. Old-Style (74-Series) Macrofunctions ------------------------------------ o Macrofunction 74691 was updated in MAX+PLUS II version 8.0 to correct errors in its functionality. Pre-version 8.0 projects that contain this function will compile differently. Megafunctions & Library of Parameterized Modules (LPM) Functions ---------------------------------------------------------------- 1. The MegaWizard Plug-In Manager is not available on PCs running Windows NT 3.51. It is available on all other supported operating systems. 2. MegaCore/OpenCore functions are not available on the MAX+PLUS II CD-ROM. All MegaCore/OpenCore functions are available from the Altera world-wide web site at http://www.altera.com. 3. Due to incompatibilities between VHDL and the LPM standard, the LPM functions that contain two-dimensional bus inputs--i.e., lpm_and, lpm_mux, lpm_or, and lpm_xor--do not currently work in MAX+PLUS II VHDL. The busmux and mux functions are now available in the maxplus2 package. 4. Parameters used to load or drive out constant values are limited to a maximum of 32 bits. This restriction affects all functions that use the LPM_AVALUE and LPM_SVALUE parameters and the LPM_CVALUE parameter in the LPM_CONSTANT function. 5. The MegaWizard Plug-In for the lpm_decode function cannot currently generate usable VHDL or Verilog HDL output files. You must use AHDL format for the output file instead. 6. The VHDL versions of the lpm_add_sub, lpm_compare, lpm_decode, the lpm_mult and lpm_mux functions have a Clock Enable (clken) port, but AHDL and Verilog HDL versions of these functions currently do not have a Clock Enable port. Hierarchy Display ----------------- 1. If you name a project without first creating the top-level design file, the Hierarchy Display window displays an icon that shows the Graphic Design File (.gdf) extension. After you save a design file with the project name, the correct extension for the file will be displayed. 2. If you are running MAX+PLUS II under Windows NT 4.0 and MAX+PLUS II or your system crashes, text in the Altera font may become invisible. This problem is especially obvious in the Hierarchy Display, which uses Altera font to display filenames. To correct the problem, reboot your system. Graphic Editor -------------- 1. In an OrCAD Schematic File (.sch) that contains an OrCAD-provided TRI symbol, you must delete the symbol and replace it with an Altera- provided TRI symbol to ensure that it compiles correctly. 2. To avoid ambiguities in bus names, you should not use a number at the end of the symbolic name that precedes a bus range. For example, the Compiler cannot distinguish between some members of the buses q1[15..0] and q11[15..0]. 3. If you are using Exceed 5.1.3 with the Sun Solaris operating system, the dashed line styles on the Line Style submenu (Options menu) may all appear to be the same. To correct this problem, double-click the Xconfig icon in the Exceed menu to open the Xconfig window. In the Xconfig window, double-click the Performance icon. In the Performance dialog box, turn on the Exact Zero-Width Lines option, and make all of the other options are turned off. Choose OK to close Xconfig. Waveform Editor --------------- o MAX+PLUS II cannot save group information in a Table File (.tbl) if it is created from a Simulator Channel File (.scf) in which group logic levels are shown with Gray code displayed as binary count. To save group information in the Table File, you must turn off the Display Gray Code As Binary Count option in the Enter Group dialog box (Node menu) for all groups. Compiler -------- 1. The Compiler will not correctly re-extract the netlists for EDIF Input Files (.edf) and Xilinx Netlist Format Files (.xnf) unless you turn on Total Recompile (Processing menu) if you have already compiled a project and then do one of the following: o Change the names of the GND and VCC signals specified with the EDIF Netlist Reader Settings dialog box (Interfaces menu). o Turn the Generate AHDL Text Design Export File (.tdx) or Translate Internal Node Names into N~ Format options on or off in the XNF Netlist Reader Settings dialog box (Interfaces menu). 2. The Report File (.rpt) and Compiler messages sometimes inaccurately report the timingperformance achieved with timing assignments entered with the Timing Requirements and Global Project Timing Requirements commands (Assign menu). You should use the MAX+PLUS II Timing Analyzer to obtain accurate information on the timing of a compiled project. 3. If you are running MAX+PLUS II under Windows NT 3.51 or 4.0 and you turn on the Compiler's Timing SNF Extractor command (Processing menu), Altera recommends that you turn floating-point emulation off to improve timing Simulator Netlist File (.snf) extraction time. Type the following command at a DOS prompt: pentnt -o If you do not have the pentnt.exe program, contact Microsoft. (In Windows NT 3.51, the pentnt.exe program is normally located in the system32 subdirectory of your Windows NT directory.) If you are running MAX+PLUS II under another Windows operating system, there is no user control over floating-point emulation. 4. The Optimize Timing SNF command (Processing menu) does not create optimized timing SNFs on UNIX workstations. However, a non-optimized timing SNF provides the same functional and timing information as an optimized timing SNF. In addition, turning this command on can reduce the size of output netlists--including VHDL Output Files (.vho), Verilog Output Files (.vo), EDIF Output Files (.edo), and Standard Delay Format Output Files (.sdo)--by up to 30%. 5. The Smart Recompile command (Processing menu) does not recognize changes in licenses. Therefore, if you compile a project for an "advanced information" device or a megafunction for which no programming files are generated, and then subsequently add the license necessary to generate programming files, you must turn off the Smart Recompile command, turn on the Total Recompile command (Processing menu), and recompile in order to successfully generate programming files. 6. A specified maximum length of 2 is ignored on the lengths of carry chains. Lengths of 3 or greater work correctly. 7. You can create multi-device JTAG chains that use information from Jam Files (.jam), but each Jam File must contain data for only one device. Also, if you wish to load a multi-device Jam File before using it for device configuration or programming, you must choose the Select Programming File command (File menu) while in the "single-device" mode, i.e., the Multi-Device JTAG Chain command (JTAG menu) and Multi-Device FLEX Chain command (FLEX menu) must be turned off. Floorplan Editor ---------------- 1. You cannot enter an assignment to an unconnected input pin in the Floorplan Editor window to reserve it for future use. You must use the Pin/Location/Chip command (Assign menu) instead. 2. If you recompile a project with the Functional SNF Extractor command (Processing menu) turned on, assignments that have been back-annotated from an earlier full compilation do appear in the Floorplan Editor's LAB and Device views. However, if you cut an assignment or attempt to drag it to the Unassigned Nodes & Pins box, it will disappear. You must recompile the project with Functional SNF Extractor turned off before you can work effectively with assignments in the Floorplan Editor window. 3. The information displayed in the Routing Statistics dialog box (Options menu) for Logic Cell Fan-In and Logic Cell Fan-Out may not be accurate for signals that feed multiple devices in a partitioned project. 4. The Floorplan Editor cannot locate errors for advanced information devices, i.e., devices for which no programming files are generated. 5. The Show Paths command in the Floorplan Editor will not display any of the paths that are fed through the single (1x) Clock of a clklock megafunction. Instead, those paths will appear as though they are driven by the RESERVED_CKLK_PIN, rather than the global Clock pin that is in the design Simulator --------- o If the same signal feeds both the Clear and Preset signals of the same flipflop, the MAX+PLUS II Simulator may, under some circumstances, show inappropriate error messages when both the Clear and Preset signals are active simultaneously during a simulation. In the actual device, the flipflop will always be cleared under this condition. o Clock signals on multiple synchronous Clock pins on EP1810 EPLDs are not applied simultaneously when you perform functional testing with the MPU and the PLMJ1810 adapter. Therefore, functional testing may indicate a false failure in an EP1810 project with multiple synchronous Clock pins. Timing Analyzer --------------- o Altera recommends performing Setup/Hold Matrix timing analysis with the Timing Analyzer for all designs to reveal possible positive hold times. o If your FLEX 10K project includes RAM with a registered Write Enable and combinatorial output, and you are simultaneously reading and writing at the same address, the Timing Analyzer may report optimistic timing results. Perform a timing simulation for the project to ensure correct behavior. Programmer ---------- 1. If you transfer a programming file created with MAX+PLUS II version 9.4 to a computer with an earlier version of MAX+PLUS II for device programming, you should not also transfer a copy of the Fit File (.fit). If you do, pre-version 6.0 releases of MAX+PLUS II may generate an internal error. 2. If you try to change the project name in order to program a device from a read-only drive or diskette, file write errors will be displayed in pop-up message boxes with Retry and Cancel buttons. If you choose Cancel each time the message appears (two or three times), the project will change successfully and you will be able to program the device. 3. On Windows NT computers, you must install the ByteBlaster driver before using the ByteBlasterMV cable. Go to MAX+PLUS II Installation in the MAX+PLUS II Getting Started manual for instructions. 4. On UNIX workstations, in-system programming may require up to 30 minutes for MAX 9000 devices. You may wish to program from a PC or turn off the Verify After Programming option in the Programming Options dialog box (Options menu). 5. You can create multi-device JTAG chains that use information from Jam Files (.jam), but each Jam File must contain data for only one device. Also, if you wish to load a multi-device Jam File before using it for device configuration or programming, you must choose the Select Programming File command (File menu) while in the "single-device" mode, i.e., the Multi-Device JTAG Chain command (JTAG menu) and Multi-Device FLEX Chain command (FLEX menu) must be turned off. Message Processor ----------------- o Some error and warning messages incorrectly report the pathname for Altera-installed VHDL libraries. Regardless of the pathname specified in such messages, these libraries are always installed in subdirectories of the vhdl93 and vhdl87 subdirectories of your MAX+PLUS II system directory (usually \maxplus2 on a PC and /usr/maxplus2 on a UNIX workstation). AHDL ---- 1. In an AHDL file that uses Boolean equations to tie unused single- or dual-range group inputs to VCC or GND, the Compiler requires unambiguous numeric values. See the following equation: a[7..0] = (H"2", H"3") In this equation, the Compiler converts the non-binary numbers to the minimum number of binary bits and then sign-extends them, thereby yielding a binary value of B"0000 10 11", not B"0010 0011". Similarly, the following equation will yield an error indicating that there is an unequal number of bits on the two sides of the equation: a[7..0] = (B"0010", H"3") The Compiler interprets the value of the expression as B"001011", not B"00100011". Altera recommends using binary numbers to avoid ambiguity in these types of expressions. 2. To avoid ambiguities in group names, you should not use a number at the end of the symbolic name that precedes a group range. For example, the Compiler cannot distinguish between some members of the groups q1[15..0] and q11[15..0]. VHDL ---- Please report any problems with compiling VHDL designs to Altera Applications at (800) 800-EPLD as soon as possible. We welcome your feedback. General VHDL Problems 1. Some error messages do not report the line and column number where the error was detected. 2. Context-sensitive help in the Text Editor is available for MAX+PLUS II primitive, macrofunction, and megafunction names in VHDL Design Files. It is not available for VHDL keywords. 3. MAX+PLUS II VHDL does not support initialization of variables, including variables used in a function or procedure. Using a variable that is initialized in a function body may cause a spurious error. To prevent such errors, you must assign an initial value to the variable in the function body. 4. Due to incompatibilities between VHDL and the LPM standard, the LPM functions that contain two-dimensional bus inputs--i.e., lpm_and, lpm_mux, lpm_or, and lpm_xor--do not currently work in MAX+PLUS II VHDL. However, the busmux and mux functions are now available in the maxplus2 package. 5. For additional up-to-date information on current MAX+PLUS II VHDL support, go to "MAX+PLUS II VHDL Support" in MAX+PLUS II Help, which provides access to topics that list the exact support provided for VHDL constructs in the IEEE Standard VHDL Language Reference Manual. Also refer to "New Features in This Release" in MAX+PLUS II Help for information on the latest enhancements to VHDL support. 6. You must explicitly instantiate tri-state buses in VHDL. The MAX+PLUS II software cannot infer a tri-state bus from multiple assignments to the same variable. 7. Due to the way that the MAX+PLUS II VHDL Netlist Reader processes tri-state assignments, the following two assignments generate slightly different logic: output <= 'Z' WHEN (oe = '0') ELSE input; output <= input WHEN (oe = '1') ELSE 'Z'; While both assignments are functionally equivalent, the second adds an extra term on the input to the tri-state buffer. Altera recommends using the first form of the tri-state assignment for best results. 8. If you are using the lpm_component and std_logic_arith packages in the same file, and you are using SIGNED or UNSIGNED as a type in the std_logic_arith package or as a constant in the lpm_component package, the SIGNED or UNSIGNED type or constant must be explicitly specified. For example, the following example is invalid: SIGNAL foo: UNSIGNED(1 DOWNTO 0) In contrast, the following example is correct: SIGNAL foo: ieee.std_logic_arith.UNSIGNED (1 DOWNTO 0) 9. MAX+PLUS II incorrectly ignores all operators in the port map of all VHDL instantiations. To correct the problem, store the expression to a temporary signal, and then pass the signal to the port map. For example, in the following sample port map for the a_74198 function, the not nCTRL operator would be ignored incorrectly: shift_CA1: a_74198 port map ( VCC, s1 => GND, s0 => not nCTRL, SCLK, SDATA, SDATA, GND, GND, GND, GND, GND, GND, GND, GND, CA1(0), CA1(1), CA1(2), CA1(3), D1(0), D1(1), D1(2), D1(3)); For this example, instead of passing the not nCTRL directly to the port map, you should store it first to a temporary signal tmp and pass tmp to the port map, as shown in the following sample port map: tmp <= not nCTRL; shift_CA1: a_74198 port map ( VCC, s1 => GND, s0 => tmp, SCLK, SDATA, SDATA, GND, GND, GND, GND, GND, GND, GND, GND, CA1(0), CA1(1), CA1(2), CA1(3), D1(0), D1(1), D1(2), D1(3)); VHDL Unsupported Feature Errors Some VHDL designs will cause unsupported feature errors when the VHDL Netlist Reader processes your project. One such error is reported as "Unsupported feature error: independent association of formal subelements is not supported for inout and out modes." This message can occur if your design attempts to map or associate individual bits of an OUT or INOUT bus. The following example shows an example of logic that will generate the error (commented out with "--##") and a workaround (commented out with "--"). LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY example IS END example; ARCHITECTURE a OF example IS COMPONENT comp1 PORT (out_port: OUT STD_LOGIC_VECTOR(1 DOWNTO 0) ); END COMPONENT; SIGNAL siga, sigb: STD_LOGIC; SIGNAL temp_sig: STD_LOGIC_VECTOR(1 DOWNTO 0); BEGIN siga <= temp_sig(1); sigb <= temp_sig(0); U1: comp1 PORT MAP ( -- out_port(1) => siga, -- -- out_port(0) => sigb); -- out_port => temp_sig); END a; VHDL Unknown Problem Errors Some VHDL designs will cause unknown errors when the VHDL Netlist Reader processes your project. These errors are reported as "Unknown problem in . Please refer to on-line help." If you receive unknown error messages, try to isolate the problem by commenting out sections of the VHDL Design File until it starts to compile. In all cases, contact Altera Applications for further assistance. The following case causes one known occurrence of this error: Comparison to a meta-logic value (e.g., X, L, H, Z, W, or -) that is logically combined in an If Statement causes the error "Unknown problem in (%CC-F-InternalError, Internal Error in CDFGCritic). Please refer to on-line help." The following example shows an example of logic that will generate the error and a workaround: LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; ENTITY metaerr IS PORT( busa : IN STD_LOGIC_VECTOR(2 DOWNTO 1); busb : IN STD_LOGIC_VECTOR(2 DOWNTO 1); q : OUT STD_LOGIC); END metaerr; ARCHITECTURE a OF metaerr IS BEGIN PROCESS (busa, busb) BEGIN -- Don't use meta-logic comparisons: they always return FALSE. -- This logic reduces to the "busb" comparison. -- Replace the meta-logic comparison with a comparison to -- both 0 and 1 -- IF (busa = "0X" OR busb = "11") THEN IF (busa = "00" OR busa = "01" OR busb = "11") THEN q <= '1'; ELSE q <= '0'; END IF; END PROCESS; END a; Verilog HDL -------------- 1. Direct compilation of Verilog Design Files (.v) with MAX+PLUS II software is not available for systems using IBM AIX 4.1 and higher, but is available for all other supported operating systems. 2. You must explicitly instantiate tri-state buses in Verilog HDL. The MAX+PLUS II software cannot infer a tri-state bus from multiple assignments to the same variable. Synopsys & MAX+PLUS II Interface -------------------------------- o Compilation with the Synopsys Design Compiler and FPGA Compiler is available only on Sun SPARCstations running Solaris 2.5 or higher. o MAX+PLUS II version 9.4 provides LPM simulation models for use with Synopsys VSS software. These models are installed in the /lpmsim directory. Follow these guidelines to use these models to simulate HDL files with Synopsys VSS software: 1. Use the MegaWizard Plug-In Manager to create a VHDL file and other associated files, including a .cmp file, for a function that instantiates the LPM function. 2. Declare the component (using the name of the VHDL file created in step 1) in your design file(s). You can copy the Component Declaration that appears in the .cmp file that was automatically generated in step 1. 3. Map LPM (a library logical name) to the same directory mapped by WORK. For example, if you have the following lines in the .synopsys_vss.setup file, WORK >DEFAULT DEFAULT :/WORK you should add the following line: LPM >DEFAULT 4. With the VSS software, analyze the 220pack.vhd package file, which is available in the /lpmsim directory. 5. Analyze the 220model.vhd model file, which is available in the /lpmsim directory. 6. Analyze the VHDL file for the function that was generated by the MegaWizard Plug-In Manager. 7. Analyze your design file(s). 8. Simulate your design. Mentor Graphics & MAX+PLUS II Interface --------------------------------------- o The Mentor Graphics B.1 release is the last release that supports CPLD technology for AutoLogic II users. Mentor Graphics now supports CPLD synthesis with Exemplar products, e.g., Galileo Extreme and Leonardo. Model Technology & MAX+PLUS II Interface o MAX+PLUS II version 9.4 provides LPM simulation models for use with Model Technology ModelSim software. These models are installed in the /lpmsim directory. Follow these guidelines to use these models to simulate HDL files with Model Technology ModelSim software: 1. Use the MegaWizard Plug-In Manager to create a VHDL file and other associated files, including a .cmp file, for a function that instantiates the LPM function. 2. Declare the component (using the name of the VHDL file created in step 1) in your design file(s). You can copy the Component Declaration that appears in the .cmp file that was automatically generated in step 1. 3. In the ModelSim software, create a work library and a logical mapping to it. You can type the following commands at a command prompt to create and map the work library: vlib work vmap work work 4. Create an lpm library that maps to the work library. You can type the following command at a command prompt to create the lpm library: vmap lpm work 5. With the ModelSim software, compile the 220pack.vhd package file, which is available in the /lpmsim directory. 6. Compile the 220model.vhd model file, which is available in the /lpmsim directory. You may need to turn on the Use explicit declarations only option or specify -explicit in the command-line command. 7. Compile the VHDL file for the function that was generated by the MegaWizard Plug-In Manager. 8. Compile your design file(s). 9. Simulate your design. Documents Available on Altera's World-Wide Web Site =================================================== The following documents, which are provided as part of PC- and UNIX workstation-based MAX+PLUS II development systems, are now also available from Altera's world-wide web site: o MAX+PLUS II Getting Started manual, including installation instructions, a comprehensive introduction, and a tutorial. o MAX+PLUS II ACCESS Key EDA Interfaces Guidelines, which are also available on the MAX+PLUS II ver. 9.4 CD-ROM. Previous versions of MAX+PLUS II Software Interface Guides are obsolete. A variety of other Altera documents, including device data sheets, are also available from the web site. The Altera home page is located at http://www.altera.com. About MAX+PLUS II On-Line Help ============================== o If you encounter a dialog box with the message "Invalid Keyword" or "The topic does not exist. Contact your application vendor for an updated Help file." when you request Help on any topic, you should contact Altera Applications Engineering at (800) 800-EPLD. The message simply indicates that the hypertext link to the Help information on that topic was inadvertently omitted. Even if you receive this message, you may be able to find information on the item with the Search for Help on command or another command on the Help menu.