Release 9.1i Map J.30 Xilinx Map Application Log File for Design 'w27f512dip28' Design Information ------------------ Command Line : map -p 2s15vq100-5 -cm area -pr b -k 4 -c 100 -o w27f512dip28_map.ncd w27f512dip28.ngd w27f512dip28.pcf Target Device : xc2s15 Target Package : vq100 Target Speed : -5 Mapper Version : spartan2 -- $Revision: 1.36 $ Mapped Date : Thu Feb 25 17:12:15 2010 Mapping design into LUTs... ERROR:MapLib:93 - Illegal LOC on IPAD symbol "read" or BUFGP symbol "read_BUFGP" (output signal=read_BUFGP), IPAD-IBUFG should only be LOCed to GCLKIOB site. Error found in mapping process, exiting... Errors found during the mapping phase. Please see map report file for more details. Output files will not be written. Design Summary -------------- Number of errors : 1 Number of warnings : 1